Реферат по предмету "Коммуникации и связь"


Проектирование цифрового измерителя емкости и индуктивности

федеральное агентствопо образованию
государственноеобразовательное учреждение
среднегопрофессионального образования
сарапульскийколледж радиоэлектронного приборостроения
ДОПУЩЕН К ЗАЩИТЕ
Зам. директора по учебной работе
Миткевич Е.А.
«       »                 2005г
РАЗРАБОТКА КОНСТРУКЦИИ ПЕЧАТНОГО УЗЛАцифрового измерителя L и C
ДИПЛОМНЫЙ ПРОЕКТ
СКРП.411218.004ПЗ
Пояснительная записка
Специальность 210306
«Радиоаппаратостроение»
Дипломник                                                                           ДмитриевИ. Е.
Руководитель дипломного проекта                                    ИвановВ. Г.
Консультант по экономической части                       ЗубовЕ. Ф.
Нормоконтроль                                                          КузнецоваА. Г.
Рецензент                                                                             
2005

Содержание
Введение
1 Расчётно – конструкторская часть
1.1 Назначение, область применения и основные параметрыизделия
1.2 Принцип работы изделия и описание его схемы
1.3 Обоснование выбора элементной базы
1.4 Электрический расчёт балластного резистора
1.5 Электрический расчет стабилизатора напряжения
1.6 Описание конструкции изделия с обоснованием применяемых материалови полуфабрикатов
1.7 Тепловой расчет интегрального стабилизатора
1.8 Расчет геометрических размеров печатной платы
1.9 Расчет надёжности изделия
2 Технологическая часть
2.1 Анализ технологичности конструкции
2.2 Выбор варианта схемы техпроцесса с обоснованием видовработ
2.3 Ведомость техпроцесса и его нормирование с определением трудоёмкости(включая операцию регулировки)
3 Организация производства
3.1 Обоснование выбора типа производства и его характеристика
3.2 Расчёт численности работающих по категориям
3.3 Организация участка и рабочих мест в соответствии с требованиямиНОТ
3.4 Расчёт фонда заработной платы
4 Экономическая часть
4.1 Расчёт себестоимости изделия и отпускной цены
4.2 Расчёт технико-экономических показателей участка
4.3 Расчет экономической эффективности
5 Мероприятия по безопасности жизнедеятельности и противопожарнойтехнике
5.1 Проведение экологической оценки технологического процессаили конструкции на технически безопасный процесс эксплуатации
5.2 Мероприятия по улучшению условий труда
Заключение
Список литературы
Приложение А Инструкция по регулировке

Введение
Измерителииндуктивности и емкости находят широкое применение как на производстве так и врадиолюбительской практике. Как правило, это малогабаритные приборы низкойточности или цифровые приборы высокой точности, но больших габаритов. Даннаяразработка с применением микроконтроллера является компромиссом качества игабаритов. Уменьшению габаритов служит применение индикатора с последовательнымвводом информации.
Принципработы предлагаемого LC метра основан на измерении энергии, накапливаемой вэлектрическом поле конденсатора и магнитном поле катушки. Применение в даннойконструкции микроконтроллера и ЖКИ индикатора позволило создать простой,малогабаритный, дешевый и удобный в эксплуатации прибор, имеющий достаточно высокуюточность измерений. Также предусмотрена программная коррекция нуля, котораякомпенсирует емкость и индуктивность клемм, соединительных проводов ипереключателя.
При работе сприбором не нужно манипулировать никакими органами управления, достаточно простоподключить измеряемый элемент и считать показания с индикатора.
1 Расчетно-конструкторская часть1.1 Назначение, область применения и основные параметрыизделия
Цифровой измеритель L и C предназначен для измерения индуктивности и емкости.
1.1.1 Электрические характеристики
-    пределы измеренияемкости от 0,1 пФ до 5 мкф;
-    пределы измеренияиндуктивности от 0,1 мкГн до 5 Гн;
-    погрешностьизмерения от 2 до 3 %;
-    напряжениепитания от 7,5 до 9 В;
-    потребляемый ток от10 до 15 мА;
-    автоматический выбордиапазона измерения;
-    программнаякоррекция нуля.
1.1.2 Конструктивные характеристики:
-    плату выполнитьиз одностороннего фольгированного стеклотекстолита толщиной 1,5 мм с размерами 40×120 мм;
-    плату крепитьвинтами.
1.1.3 Эксплуатационныехарактеристики:
-    окружающаятемпература от плюс 10 до плюс 55°С;
-    относительнаявлажность до 75 % при температуре плюс 25°С;
-    атмосферноедавление 720…780 мм.рт.ст.1.2 Принцип работы изделия и описание его схемы
Сигнал возбуждающего напряженияпрямоугольной формы с вывода 6 (РВ1) микроконтроллера DD2 через три нижних по схеме буферных элемента DD1 поступает на измерительную частьустройства. Во время высокого уровня напряжения зарядка измеряемогоконденсатора Сх происходит через резистор R1 и диод VD6, аво время низкого – разрядка через R1 и VD5. Средний ток разрядки,пропорциональный величине измеряемой емкости, устройство преобразует с помощьюоперационного усилителя (ОУ) DA1 внапряжение. Конденсаторы С5 и С7 сглаживают его пульсации. Резистор R11 служит для точной установки нуляОУ.
При измерении индуктивности во времявысокого уровня ток в катушке нарастает до значения, определяемого резистором R2, а во время низкого – ток,создаваемый ЭДС самоиндукции измеряемой катушки, через VD3 и R3также поступает на вход микросхемы DA1.
Таким образом, при постоянномнапряжении питания и частоте сигнала напряжение на выходе ОУпрямопропорционально величинам измеряемых емкости или индуктивности. Но этосправедливо только при условии, что зарядка конденсатора выполнена полностью втечение половины периода возбуждающего напряжения и также полностью произошларазрядка в течение другой половины. Аналогично и для катушки индуктивности. Токв ней должен успевать нарастать до максимального значения и спадать до нуля.Эти условия можно обеспечить соответствующим выбором резисторов R1–R3 и частоты возбуждающего напряжения.
Напряжение, пропорциональное значениюпараметра измеряемого элемента, с выхода ОУ через фильтр R4C2 подают на встроенный десятиразрядный АЦП микроконтроллера DD1. Конденсатор С1 – фильтрвнутреннего источника образцового напряжения АЦП.
Три верхних по схеме элемента DD1, а также VD2, VD4, С3,С4 использованы для формирования напряжения минус 5 В, необходимого для работыОУ.
Результат измерения прибор отображаетна десятиразрядном семисегментном жидкокристаллическом индикаторе HT1613.
Для повышения точности прибор имеетдевять поддиапазонов измерения. Частота возбуждающего напряжения на первомподдиапазоне равна 800 кГц. На такой частоте измеряют конденсаторы с емкостьюпримерно до 90 пФ и катушки с индуктивностью до 90 мкГн. На каждом последующемподдиапазоне частота снижена в 4 раза, соответственно во столько же разрасширен предел измерения. На девятом поддиапазоне частота равна 12 Гц, чтообеспечивает измерение конденсаторов с емкостью до 5 мкФ и катушек синдуктивностью до 5 Гн. Нужный поддиапазон прибор выбирает автоматически,причем после включения питания измерение начинает с девятого поддиапазона. Впроцессе переключения номер поддиапазона отображен на индикаторе, что позволяетопределить, на какой частоте выполняют измерение.
После выбора нужного поддиапазонарезультат измерения в пФ или мкГн выведен на индикатор. Для удобства считываниядесятые доли пФ (мкГн) и единицы мкФ (Гн) отделены пустым знакоместом, арезультат округлен до трех значащих цифр.
Светодиод HL1 красного цвета свечения использован в качествестабилизатора на 1,5 В для питания индикатора. Кнопка SB1 служит для программной коррекции нуля, что помогает компенсироватьемкость и индуктивность клемм и переключателя SA1. Резистор R13предназначен для быстрой разрядки конденсаторов С10 и С11 при выключениипитания. [12]
Описание схемы произведено согласноСКРП.411218.004Э3.1.3 Обоснование выбора элементной базы
При разработке конструкции изделиянеобходимо:
-  выбрать радиоэлементы, обеспечивающиенадежную и устойчивую работу схемы;
-  стремиться к сокращению номенклатурыдеталей и элементов, то есть сделать конструкцию максимально легкой икомпактной;
-  иметь оптимальное соотношениецена/качество выбранных радиоэлементов;
-  тщательно проанализировать требованияк прибору приступая к разработке конструкции. [10]
Исходя из этих требований, вконструкции печатного узла измерителя применены ниже перечисленные радиоэлементы.
Конденсаторы К10-17б
Конденсаторы керамические,уплотненные, для всеклиматического исполнения, постоянной емкости,низковольтные, предназначены для эксплуатации в качестве встроенных элементов вцепях постоянного и переменного токов и в импульсном режиме.
Эксплуатационные характеристики:
– вибрационный диапазон частот от 1до 5000 Гц;
– диапазон рабочих температур отминус 40 до плюс 85 °С;
– относительная влажность не более 98%;
– допускаемые отклонения емкости ±10%.
Показатели надежности:
– наработка на отказ не менее 15000ч;
– интенсивность отказов не более 1∙10-61/ч;
– срок сохраняемости не менее 15 лет.
Конденсатор К10-17б выбран потому, что имеет малыегабариты, малую интенсивность отказов, низкую стоимость.
Конденсаторы К50-68
Конденсаторы оксидно-электролитическиеалюминиевые, предназначены для работы в цепях постоянного, пульсирующего тока ив импульсном режиме.
Эксплуатационные характеристики:
– диапазон рабочих температур отминус 40 до плюс 85 °С;
– относительная влажность не более 98%;
– допускаемые отклонения емкости отминус 10 до плюс 50 %.
Показатели надежности:
– наработка на отказ не менее 15000ч;
– интенсивность отказов не более 2∙10-61/ч;
– срок сохраняемости не менее 15 лет.
Конденсатор К50-68 выбран потому, что имеет малые габариты,малую интенсивность отказов, низкую стоимость.
Микросхема КР140УД1208
Операционный усилитель общегоприменения.
Эксплуатационные характеристики:
– коэффициент усиления 20000;
– напряжение смещения 6 мВ;
– входной ток 500 нА;
– напряжение питания ±5 В;
– входное сопротивление 0,4 МОм;
– потребляемый ток 3 мА;
– скорость нарастания 0,3 В/мкс;
– диапазон рабочих температур от 0 доплюс 70 °С;
– относительная влажность не более 98%.
Показатели надежности:
– наработка на отказ не менее 20000ч;
– интенсивность отказов не более 2∙10-101/ч;
– срок сохраняемости не менее 18 лет.
Микросхема выбрана потому, что имеетвысокий коэффициент усиления и низкое потребление энергии.
Микросхема КР1157ЕН502А
Стабилизатор напряжения.
Эксплуатационные характеристики:
– напряжение стабилизации 5 В;
– максимальный ток стабилизациинагрузки 0,1 А;
– рассеиваемая максимальная мощность0,5 Вт;
– диапазон рабочих температур отминус 60 до плюс 80 °С;
– относительная влажность не более 98%.
Показатели надежности:
– наработка на отказ не менее 15000ч;
– интенсивность отказов не более 2∙10-81/ч;
– срок сохраняемости не менее 12 лет.
Микросхема выбрана потому, что имеетнизкий коэффициент нестабильности по напряжению.
Микросхема К561ЛН2
Шесть буферных инверторов.
Эксплуатационные характеристики:
– напряжение стабилизации от 3 до 15В;
– рассеиваемая мощность 0,4 мкВт;
– диапазон рабочих температур отминус 10 до плюс 70 °С;
– относительная влажность не более 98%.
Показатели надежности:
– наработка на отказ не менее 40000ч;
– интенсивность отказов не более 2∙10-81/ч;
– срок сохраняемости не менее 15 лет.
Микросхема серии К561 являются болеесовременной по сравнению с серией К176 и превосходит их по всем параметрам.
Микросхема ATtiny15
Микроконтроллер.
Эксплуатационные характеристики:
– напряжение питания от 2,7 до 5,5 В;
– рабочая частота 4 МГц;
– разрядность 8 бит;
– емкость памяти 1 кбайт;
– тип памяти FLASH;
– диапазон рабочих температур от 0 доплюс 70 °С;
– относительная влажность не более 98%.
Показатели надежности:
– наработка на отказ не менее 40000ч;
– интенсивность отказов не более 2∙10-81/ч;
– срок сохраняемости не менее 18 лет.
Индикатор символьный HT1613
Десятиразрядный семисегментныйжидкокристаллический
Эксплуатационные характеристики:
– напряжение питания от 1,2 до 1,7 В;
– потребляемый ток 10 мкА;
Показатели надежности:
– наработка на отказ не менее 50000ч;
– интенсивность отказов не более 2∙10-81/ч;
– срок сохраняемости не менее 15 лет.
Индикатор выбран потому, что имеет меньше соединений вотличии от индикаторов без управляющего микроконтроллера и низкое потреблениеэнергии.
Светодиод АЛ307БМ
Эксплуатационные характеристики:
– цвет свечения — красный;
– длина волны от 650 до 675 нм;
– сила света 0,9 мКд;
– прямой ток 10 мА;
– прямое напряжение 2 В;
– обратное напряжение 2 В;
– диапазон рабочих температур от минус60 до плюс 70 °С;
– относительная влажность не более 98%.
Показатели надежности:
– наработка на отказ не менее 50000ч;
– интенсивность отказов не более 2∙10-81/ч;
– срок сохраняемости не менее 15 лет.
Светодиод выбран потому, что имеет малые габариты,широкий диапазон рабочих температур, малую интенсивность отказов, низкую стоимость.
Резисторы С2-33Н-0,125
Резисторы постоянныеметаллодиэлектрические неизолированные, предназначенные для работы в цепяхпостоянного, переменного и импульсного тока и в качестве элементов навесногомонтажа.
Эксплуатационные характеристики:
– допуск 10 %;
– номинальная мощность 0,125 Вт;
– температура при номинальноймощности плюс 70 °C;
– уровень собственных шумов не более5 дБ;
– сопротивление изоляции 1∙104Мом;
– диапазон температур от минус 60 доплюс 155 °С;
– относительная влажность воздуха приплюс 35°С 98 °С;
– предельное рабочее напряжениепеременного
или постоянного тока 200 В.
Показатели надежности:
– наработка на отказ не менее 30000ч;
– интенсивность отказов не более 1∙10-71/ч;
– срок сохраняемости не менее 15 лет.
Резистор выбран потому, что имеетбольшую наработку на отказ, высокую стабильность параметров, малый уровеньшумов и низкую стоимость.
Резисторы СП3-19а
Резисторы переменные не проволочныеподстроечные, применяются для работы в цепях постоянного и переменного токов внепрерывных и импульсных режимах. Предназначен для монтажа на поверхность.
Эксплуатационные характеристики:
– мощность (при температуре 40°С) 0,5Вт;
– предельное рабочее напряжение неболее 150 В;
– допустимое отклонение сопротивленияот номинального ±20%;
– диапазон температур от минус 60 доплюс 125 °С;
– относительная влажность воздуха приплюс 35 °С 98 %;
– число циклов перемещения подвижнойсистемы 500;
Показатели надежности:
– наработка на отказ не менее 20000ч;
– интенсивность отказов не более 1∙10-71/ч;
– срок сохраняемости не менее 10 лет.
Резистор выбран потому, что имеетбольшую наработку на отказ, высокую стабильность параметров, малый уровеньшумов.
Диод Д311А
Диод кремниевый.
Эксплуатационные характеристики:
– прямой ток 0,3 А;
– обратный ток 10 мкА;
– прямое напряжение 1 В;
– обратное напряжение 100 В;
– диапазон рабочих температур отминус 60 до плюс 85 °С;
– относительная влажность не более 98%.
Показатели надежности:
– наработка на отказ не менее 30000ч;
– интенсивность отказов не более 2∙10-71/ч;
– срок сохраняемости не менее 12 лет.
Диод выбран потому, что имеет малыегабариты, широкий диапазон рабочих температур, обладает высокойударопрочностью.
Диод КД522Б
Диод кремниевый.
Эксплуатационные характеристики:
– прямой ток 50 мА;
– обратный ток 1 мкА;
– прямое напряжение 1 В;
– обратное напряжение 75 В;
– диапазон рабочих температур отминус 60 до плюс 85 °С;
– относительная влажность не более 98%.
Показатели надежности:
– наработка на отказ не менее 30000ч;
– интенсивность отказов не более 2∙10-7%;
– срок сохраняемости не менее 12 лет.
Диод выбран потому, что имеет малыегабариты, широкий диапазон рабочих температур, высокое допустимое Uобр=30в, обладает высокой ударопрочностью.
Выбор прочих элементов.
Остальные элементы схемы выбираются,исходя из их эксплуатационных и функциональных характеристик: переключатель B170G, кнопка ПКн150–1, гнезда BP–121. [1,3,4,6,14]1.4 Электрическийрасчёт балластного резистора
Исходные данные:
­    напряжениепитания Uп = 5 В;
­    прямое напряжениесветодиода UHLпр = 1,5 В;
­    прямой ток светодиодаIHLпр = 10 мА.
Требуется определить:
­    сопротивление имощность резистора Rб.
Расчет ведется по схеме приведеннойна рисунке 1.1.

/>
Рисунок 1.1 – Схема включения
1.4.1 Определяю падение напряжения нарезисторе Rб, Uпад, В, по формуле:
 
Uпад = Uп – UHLпр                                       (1.1)
 
Uпад = 5 – 1,5 = 3,5 В
1.4.2 Определяю сопротивлениерезистора, Rб, Ом, по формуле:
/>                                                    (1.2)
/>
1.4.3 Определяю мощность резистора Rб, Р, Вт, по формуле:
 
P = Uпад × IHLпр                                          (1.3)
 
P = 3,5 × 0,01 = 0,035 Вт
Исходя из получиных данных выбираюрезистор Rб из ряда Е24 С2-33Н-0,125-360 Ом. [5]1.5 Электрический расчет стабилизатора напряжения
Исходные данные:
­    выходноенапряжение U = 5 В;
­    ток нагрузки Iн = 15 мА;
­    частота сети f = 50 Гц;
Требуется определить:
­    тип стабилизаторанапряжения;
­    номиналы емкостейС9 и С10.
По данному выходному напряжению,равному 5 В и состоянию современной элементной базы, целесообразно выбратьстабилизатор серии КР1157ЕН502А.
По приблизительным расчетам токнагрузки составляет около 15 мА, который может обеспечить этот стабилизатор, т.к. он рассчитан на ток 250 мА. Напряжение стабилизации этой микросхемы 5 В ±0,2 В, при Uвх. = 8 В, что подходит к параметрам понапряжению питания.
Для обеспечения устойчивости работыстабилизатора при импульсном изменении тока нагрузки на выходе необходимконденсатор емкостью не менее 10 мкФ, поэтому выбираем емкость 47 мкФ на выходеи 100 мкФ на входе.
Конденсаторы выбираем марки К50-68,т. к. они удовлетворяют нашим условиям: малые габариты, современная элементнаябаза и дешевизна.
Рабочее напряжение конденсаторадолжно быть больше напряжение стабилизации, поэтому выбираем конденсаторы нанапряжение 16 В на входе и выходе.
Получаем:
С10 – К50-68-16В-47 мкФ;
С11 – К50-68-16В-100 мкФ.
Для нормальной работы стабилизаторана его входе должно быть напряжение не менее 7 В. А так как ток потреблениясамим стабилизатором не более 5 мА, то ток нагрузки выпрямителя должен быть неменее 20 мА. [5]1.6 Описание конструкции изделия с обоснованием применяемых материалови полуфабрикатов1.6.1 Обоснование выбора применяемых материалов
Конструкция устройства выполняется напечатной плате из фольги-рованного стеклотекстолита СФ-1-50. Выборстеклотекстолита обусловлен тем, что стеклотекстолит имеет огромный рядпреимуществ по сравнению с гетинаксом.
Стеклотекстолит имеет высокуюмеханическую прочность, высокую нагревостойкость (до 180 °С), высокое удельноеобъемное сопротивление (5∙1012 Ом/см), малый тангенс угладиэлектрических потерь (0,03), прочность сцепления фольги с основанием (10 Н/см2).
Для сравнения, если взять гетинакс,то становится очевидно, что он не имеет никаких преимуществ по отношению кстеклотекстолиту. Гетинакс имеет удельное, объемное сопротивление (1∙109Ом/см), тангенс угла диэлектрических потерь (0,07), прочность сцепления фольгис основанием
(19 Н/см2). Кроме того, гетинакс уступает стеклотекстолиту, как поэлектрическим, так и по физико-механическим свойствам.
Пайка осуществляется припоем ПОС-61ГОСТ 21931-76. Выбор данного припоя, несмотря на его высокую стоимость,оправдывается тем, что у него более низкая температура плавления по сравнению сдругими припоями (припой ПОС-61 обладает температурой плавления 185°С), малоевремя кристаллизации, что позволяет применять этот припой в автоматизированныхлиниях пайки, что увеличивает скорость пайки и ее качество.
Размеры печатной платы выбираюттакими, чтобы при раскрое платы из стандартного стеклотекстолита получалсянаименьший расход. В качестве элементов схем используют электрорадиоэлементы(ЭРЭ), предназначенные для радиоэлектронной аппаратуры широкого применения.
Флюс ФКСП ТУ 102.911канифольно-спиртовой. Он обладает максимальной активностью при температуре плюс170°С. Остатки флюса легко удаляются спиртом. Для ремонтных работ используетсяканифоль сосновая ГОСТ 19113-73. Остатки канифоли легко удаляются спиртом.
Для снятия остатков флюса и канифолиприменяется этиловый спирт ТУ577227-64, не ухудшает изоляционных свойств платы.
1.6.2 Описание конструкции
Описание конструкции ведется всоответствии с СКРП.687242.008СБ. Устройство собрано на печатной плате (ПП) с одностороннейпечатью. Чертеж платы представлен на СКРП.758713.008. Плата выполнена изстеклотекстолита СФ-1-50-1,5 по условиям ГОСТ10316-78 с толщиной фольги 50 мкм.
Группа жесткости платы 2 – этозначит, что печатная плата может эксплуатироваться в интервале температур отминус 25 °С до плюс 55 °С с относительной влажностью до 76 % и атмосферным давлением760 мм рт.ст.
Класс точности платы – 1. Это значит,что плотность монтажа повышенная. Минимальная ширина проводника не должна бытьменьше 0,5 мм. Минимальное расстояние между контактными площадками и печатнымипроводниками не должно быть меньше 0,125 мм. Расстояние от края просверленногоотверстия до края контактной площадки должно быть не менее
0,35 мм. Отношение диаметра металлизированного отверстия к толщине платы 0,4.Максимальное отклонение между центрами отверстий ± 0,1 мм.
Печатная плата изготавливается химическимметодом, т.е. химическим способом, т.к. такой способ изготовления позволяетдобиваться высокой точности травления поверхностного слоя ПП. Однако такойспособ имеет также и недостатки: процесс изготовления ПП длительный и назаготовку воздействуют химические реагенты и влага.
Токопроводящий рисунок плат при такомспособе выполнен химическим способом, при котором производится вытравливаниенезащищенных участков фольги, предварительно наклеенной на диэлектрик.
Варианты установки деталей на платахстандартные. Установку элементов производить по ОСТ4.Г0.010.030-81. [10]
1.7 Тепловой расчет интегральногостабилизатора
Исходные данные:
­    мощностьрассеивания Ррас = 0,5 Вт;
­    температураокружающей среды Та= 55 °С;
­    максимальнаядопустимая температура Тj = 75 °С.
Определить:
­    температурунагрева корпуса интегрального стабилизатора КР1157ЕН502А без радиатора.
1.7.1 Определяем температуру нагревакорпуса без радиатора, Тj, °С, по формуле:
/>,                                     (1.4)
где RTj-A – тепловое сопротивлениекорпус/воздух,RTj-A = 5 °С/Вт
/>
Так как 57,5 °С 1.8 Расчет геометрических размеров печатной платы
Для расчета геометрических размеровпечатной платы используем данные таблицы 1.1.

Таблица 1.1 Размеры в миллиметрахТип элемента
Вариант
установки
Диаметр
элемента
Установочная
длина Длина Ширина
Диаметр
вывода
Sэ., мм2
Кол–во
элементов, n, шт.
Sэ. ∙ n, мм2 К10-17б IIв   7,5 5 3 0,6 37,5 5 187,5 К50-68-16В-47мкФ IIв 5 2,5     0,6 25 3 75 К50-68-16В-100 мкФ IIв 6 2,5     0,6 36 3 108 КР140УД1208 VIIIа   7,5 10,2 7,5 0,6 76,2 1 76,2 КР1157ЕН502А VIIа 5 5     0,8 25 1 25 К561ЛН2 VIIIа   15 19 7,5 0,6 142,5 1 142,5 КР140УД1208 VIIIа   7,5 10,2 7,5 0,6 76,2 1 76,2 АЛ307БМ IIв 5 5     0,6 25 1 25 С2-33Н-0,125 Iа   10 6 2 0,6 20 11 220 СП3-19а IIв 10 5     0,6 100 3 300 Д311А Iа   10 8 4 0,6 40 4 160 КД522Б Iа   10 3,8 2 0,6 20 3 60 ПКн150-1 Iа   15 12 12 1 180 1 180 Площадь всех ЭРЭ 1635,4
Рассчитаю площадь всех ЭРЭ, определяюрасчетную площадь платы, S,мм2, по формуле:
/>,                                       (1.5)
где Q – коэффициент заполненияпечатной платы, Q = 2,9.
/>
По данным расчета выбираю площадьпечатной платы не более
4742,66 мм2. Выбираем печатную плату размерами 40×120 мм.1.9 Расчет надежности изделия
Надежность – свойство изделиявыполнять заданные функции в определенных условиях эксплуатации при сохранениизначений основных параметров в заранее установленных пределах. Надежность –физическое свойство изделия, которое зависит от количества и качества, входящихв его состав элементов, от условий в которых оно эксплуатируется (чем вышетемпература окружающей среды, чем больше относительная влажность воздуха, перегрузкипри вибрации и т.д., тем меньше надежность), и от ряда других причин. [10]
Производим расчет надежности изделия,пользуясь данными
таблицы 1.2.
Таблица 1.2Тип элемента Кол-во ЭРЭ, n Интенсивность отказов ЭРЭ λэ, 1/ч Произведение n ∙ λэ К10-17б 10 0,00000014 0,00000140 К50-68 3 0,00000024 0,00000072 Микросхемы 4 0,00000002 0,00000008 АЛ307БМ 1 0,00000002 0,00000002 НТ1613 1 0,0000002 0,00000020 Резисторы 14 0,00000005 0,00000070 В170G 1 0,00000005 0,00000005 ПКн150-1 1 0,0000003 0,00000030 КД521А 3 0,0000003 0,00000090 Д311А 4 0,0000003 0,00000120 BP-121 2 0,00000001 0,00000002 Пайка 194 0,000000005 0,00000097
Итоговая интенсивность отказов
изделия λ 1/ч 0,00000656
Рассчитываю вероятность безотказнойработы, Р, по формуле:
/>                                            (1.6)
По результатам расчетов строю графиквероятности безотказной работы представленный на рисунке 1.2.
Определяю среднюю наработку допервого отказа, Т, час., по формуле:
/>                                              (1.7)
/>
/>
Рисунок 1.2 – График вероятностибезотказной работы
Глядя на полученные результатытаблицы 1.2 и рисунка 1.2, становится, очевидно, что цифровой измеритель L и C надежен в работе и может проработать не менее 152439 часов.
2 Технологическая часть2.1 Анализ технологичности конструкции2.1.1 Качественный анализ технологичности конструкцииКачественный анализ технологичности конструкции. Конструкция считаетсятехнологичной, если она при полном обеспечении требований надежности и качестване требует больших затрат на подготовку производства и позволяет внедритьпринципы НОТ с максимальным внедрением механизации и автоматизации в данномпроизводстве.
Конструкция измерителя собрана напечатной плате с печатным монтажом. Этот монтаж характеризуется жесткостью,обеспечивает доступ к ЭРЭ. Печатный монтаж дает возможность применениямеханизации при подготовке ЭРЭ к монтажу. Сначала устанавливаются на печатнуюплату резисторы, затем конденсаторы, диоды, и в последнюю очередь транзисторы имикросхемы. Монтажные соединения, получаемые пайкой, имеют большое переходноесопротивление по сравнению со сборочными соединениями. При нем нужендополнительный расход материалов, но пайка обладает рядом преимуществ: техпроцесспайки прост, дешев, можно быстро заменить вышедшие из строя ЭРЭ. Пайкаобеспечивает надежный электрический монтаж, легко производить контроль монтажапо внешнему виду.
В качестве элементов схем используютЭРЭ, предназначенные для радиоэлектронной аппаратуры широкого применения:резисторы С2-33Н, конденсаторы К10-17б и К50-68. Эти ЭРЭ дешевы по стоимости иимеют очень широкое распространение, что повышает технологичность устройства.2.1.2 Количественный анализ технологичности конструкцииЭтот анализ позволяет однозначно судить о технологичности изделия.Количественная оценка технологичности конструкции основана на системепоказателей, которые согласно ГОСТ14.203-73 делятся на три вида:
-    базовыепоказатели технологичности;
-    показателитехнологичности конструкции;
-    показатели уровнятехнологичности.
Вид изделия, объем выпуска, и типпроизводства являются главными факторами, определяющими требования ктехнологичности конструкции изделия.
Под технологичностью конструкциипонимается свойство, когда конструкция данного качества может производиться сминимальными затратами. Для определения уровня технологичности следуетопределить факторы, влияющие на технологичность и определения количественнойоценки. [9]
В данном дипломном проекте анализтехнологичности конструкции сводится к расчету: показателей технологичности,определению комплексного показателя технологичности и уровня технологичности.
Исходные данные и условныеобозначения для расчётов представлены в таблице 2.1, базовый коэффициенттехнологичности Кб равен 0,69.
Таблица 2.1Обозначение Наименование Значение
Нор. эрэ Количество оригинальных ЭРЭ в изделии
Нэрэ Общее количество ЭРЭ 38
Нор.т.эрэ Количество типоразмеров оригинальных ЭРЭ в изделии
Нт.эрэ Общее количество типоразмеров в изделии 12
Нав.м Количество монтажных соединений выполненных автоматизированным или механизированным способом 110
Нм Общее количество монтажных соединений 120
Нмс Общее количество микросхем в изделии 4 2.1.1 Расчёт базовых показателейтехнологичности
Расчёт коэффициента повторяемости ЭРЭ,Кповт.эрэ, по формуле:

/>                                    (2.1)
/>
Расчет коэффициента применяемости ЭРЭ,Кприм.эрэ, по формуле:
 
/>                                 (2.2)
/>
Расчет коэффициента использования микросхем,Кис.мик, по формуле:
 
/>                                    (2.3)
/>
Расчет коэффициента автоматизации имеханизации монтажа, Кав.м, по формуле:
 
/>                                    (2.4)
/>2.1.2 Определяю коэффициент весомости длякаждого показателя, Кв,по формуле:
/>,                                (2.5)
где i – порядковый номер показателя вранжированном порядке.
Данные полученные из расчетов заношув таблицу 2.2.
Таблица 2.2Наименование базовых показателей технологичности Условное обозначение Значение Коэффициент Ψ Коэффициент повторяемости ЭРЭ
Кповт.эрэ 0,68 1 Коэффициент применяемости ЭРЭ
Кприм.эрэ 1,00 1
Коэффициент использования
микросхем
Кис.мик 0,80 0,75
Коэффициент автоматизации и
механизации монтажа
Кав.м 0,92 0,5 2.1.3 Определяю комплексный показательтехнологичности, К, по формуле:
/>                  (2.6)
/>2.1.4 Определение уровня технологичностиконструкции изделия, Кут,по формуле:
 
/>,                                        (2.7)
где Кб – базовыйпоказатель технологичности, Кб = 0,69
/>
Полученный коэффициент Кyт>1,следовательно конструкция печатного узла технологична.2.2 Выбор варианта схемы техпроцесса с обоснованием видовработ
В настоящее время наиболеепрогрессивным методом техпроцесса является автоматизированное производство. Втаком производстве рабочие места объединяются территориально в определенномпорядке. Изделие в процессе сборки передается с одного рабочего места надругое.
Все сборочные работы производятсяпооперационно и синхронизированы, то есть, приведены к такту. Это значительноупрощает перемещение изделия на монтажных столах, рабочие места расположены походу техпроцесса.
Технологический процесс – это частьпроизводственного процесса по изготовлению изделия. В него входят: изготовлениедеталей, сборка узлов и блоков, контроль, измерения, транспортировка изделий идругие операции.
При проектировании техпроцессовнеобходимо использовать:
— рабочие чертежи: принципиальная схема, сборочныйчертёж, спецификация;
— руководящие материалы: стандарты, нормали;
— справочные данные. [9]2.2.1 Структурная схема техпроцесса
Руководствуясь выше сказанным,составляем структурную схему изготовления печатного узла и контроля:

/>
Рисунок 2.1 – Структурная схематехпроцесса
Наименование операции:
005 – заготовительная
010 – сборочная
015 – монтажная
020 – регулировка
025 – контроль2.2.2 Обоснование видов работ
005 – заготовительная операция:
Сначала проводится комплектование покупнымикомплектующими изделиями (ПКИ) и материалами согласно спецификации чертежа икомплектовочным картам. Элементы извлекаются из упаковки, и производится проверкапараметров элементов, рихтовка, формовка и обрезка в размер выводов, лужение,удаление изоляции проводов. Это выполняется слесарем – сборщиком на отдельномрабочем месте. При этом используется пинцет прямой ГГ7879-4215, кусачки боковые54-16-1722, плоскогубцы ПУГ-150. Производится подготовка печатных плат, реконсервацияплат. Также проводится проверка на паяемость. Применяется паяльник малогабаритныйПСН-28-6 ЕГ2.982.013 (Uпит = 6В, Рпот = 28Вт).
010 – сборочная операция:
Включает в себя установку ЭРЭ на платус подгибкой выводов. Выполняется слесарем – сборщиком на его рабочем месте.Применяется пинцет ГГ7879-4215, кусачки боковые 54-16-1722. В концепроизводится визуальный контроль правильности установки ЭРЭ.
015 – монтажная операция:
Групповая пайка. Включает в себя установкув кассеты, флюсование, пайку волной на агрегате пайки АП-10 и изъятие изкассеты. Выполняется монтажником. Пайка проводится припоем ПОС-61 ГОСТ 21931-76.Применяется флюс ФКСП ТУ 102.911 канифольно-спиртовой, обладает максимальнойактивностью при температуре плюс 170 °С.
020 – операция регулировки:
Операцию регулировки выполняетрегулировщик на отдельном рабочем месте. Для этого применяется малогабаритныйпаяльник ПСН-28-6 ЕГ2.982.013 (Uпит=6В, Рпот=28Вт) или другой, но до Рпот=30Вт,пинцет – захват прямой ПЗП 120 ОСТ 4.Г0.060.013, канифоль сосновая А ГОСТ19113-73, припой ПОС-61 ГОСТ21931-76 и отвёртка ОСКС 200×0,3ОСТ4.Г0.060.017. Из приборов используются блок питания Б5-25, контрольныеконденсаторы и катушки. Инструкция по регулировке приведена в приложении А.
025 – операция контроля:
Проверка правильности сборки инадежности монтажа. Применяется пинцет ГГ7879-4215, иголка, лупа×4, отвертка.Операция осуществляется контролером. Производится проверка сборки и монтажа сцелью обеспечения работоспособности изделия. Применяется комплект инструментов.[9]2.3 Ведомость техпроцесса и его нормирование с определением трудоёмкости,включая операцию регулировки.
Технологический процесс – это частьпроизводственного процесса по изготовлению изделия. В него входят: изготовлениедеталей, сборка узлов и блоков, контроль, измерения, транспортировка изделий идругие операции.
При проектировании техпроцессовнеобходимо использовать:
— рабочие чертежи, к ним относятся: принципиальнаясхема, сборочный чертёж, спецификация;
— руководящие материалы: стандарты, нормали;
— справочные данные.
Разработку техпроцесса производим вследующей последовательности:
— изучение технических условий и чертежей;
— закрепление сборки аппаратуры за рабочими местами;
— выбор метода изготовления;
— проектирования техпроцесса;
— выбор оборудования и приспособлений, инструментов длякаждой операции;
— разработка методов контроля;
— подсчёт необходимого количества оборудованиятехнологической оснастки.
Нормы времени на операции и переходыберём из справочника [16,17]
2.3.1 Разработка техпроцесса по операциям
Ведомость техпроцесса включает всевиды работ, которые выполняются при изготовлении данного изделия на участке.
Для составления ведомости технологическогопроцесса нормы времени берем из отраслевого стандарта (см. таблицу 2.3). [16,17]
Таблица 2.3Наименование и содержание видов работ Средства оснастки
tоп, мин
на 1 шт.
n∙tоп, мин.
Tоп, мин. 005
Заготовительная операция:
Подготовка ЭРЭ к монтажу, формовка и лужение выводов ЭРЭ
Плата печатная (1 шт.)
Конденсаторы (11 шт.)
Микросхемы (4 шт.)
Светодиод (1 шт.)
Индикатор (1 шт.)
Резисторы (14 шт.)
Переключатель (1 шт.)
Кнопка (1 шт.)
Диоды (7 шт.)
Гнезда (2 шт.)
Перемычки (3 шт.) Пинцет прямой ГГ-7879-4215, плоскогубцы, ПУГ-150, кусачки боковые 54-16-1722
1,04
0,18
0,35
0,22
0,17
0,18
0,38
0,2
0,18
0,22
0,15
1,04
1,98
1,4
0,22
0,17
2,52
0,38
0,2
1,26
0,44
0,45
 
 
9,61 010
Сборочная операция:
Установка на печатную плату ЭРЭ
Конденсаторы (11 шт.)
Микросхемы (4 шт.)
Светодиод (1 шт.)
Индикатор (1 шт.)
Резисторы (14 шт.)
Переключатель (1 шт.)
Кнопка (1 шт.)
Диоды (7 шт.)
Гнезда (2 шт.)
Перемычки (3 шт.) Пинцет ПГГМ-120, кусачки боковые 54-16-1722
 
0,13
0,22
0,12
0,32
0,11
0,23
0,15
0,12
0,16
0,1
 
1,43
0,88
0,12
0,32
1,54
0,23
0,15
0,84
0,32
0,3
 
5,83 015
Монтажная операция:
Пайка волной
Ремонт
Промывка платы Установка пайки волной АП-10, паяльник ПСН-28-6, материалы
 
2
1
0,7
 
2
1
0,7
 
3,7 020
Операция регулировки:
Подстройка ЭРЭ
Резистор (2 шт.) Паяльник ПСН-28-6, плоскогубцы ПУГ-150, пинцет ГГ-7874-15, тампон, отвёртка ОСКС 200×0,3 ОСТ4.ГО.060.017, комплект приборов 3,5 3,5 3,5 025
Операция контроля:
Проверка правильности сборки и монтажа
Проверка надежности сборки и монтажа
Проверка параметров Отвертка ОСКС250×1, лупа×4, пинцет, комплект приборов по проверке параметров
 
1,5
0,9
1
 
1,5
0,9
1
 
 
3,4
3 Организация производства3.1 Обоснование выбора типа производства и его характеристика
3.1.1 Предприятие, выпускающее готовуюпродукцию, характеризуется производственным процессом, под которым понимаетсясовокупность действий, в результате которых поступающее сырье, материалыперерабатываются в готовую продукцию. Техпроцесс представляет собой сложныйкомплекс действий оборудования, материалов и исполнителей.
В зависимости от программы выпуска ипрограммы запуска, трудоемкости, перспективности и конструктивных особенностейразличаются следующие типы производства: массовое, серийное, мелкосерийное,единичное.
Выбираю программу выпуска (2000 шт.).Эта характеристика подходит к мелкосерийному типу производства. Этомногономенклатурное производство. Применением частичной автоматизации,универсальных приспособлений наряду со специальными, достигается сокращениеручного труда, снижение требуемого уровня квалификации рабочих до 4-5 разряда. Вотличие от индивидуального производства, повышение производительности труда иснижение себестоимости продукции. Заготовительные работы проводятся наотдельном рабочем месте. Также в мелкосерийном производстве могут применятьсятранспортные конвейеры. Технологический процесс при таком производстве делитсяна операции по технологической завершенности. [13]3.1.2 Определяю программу запуска, Nз, шт., по формуле:
/>,                                       (3.1)

Где Nв – программа выпуска, Nв = 2000 шт.;
А – коэффициент, учитывающий несовершенство организациии технологии производства, А = 0,1.
/>
Принимаем программу запуска 2002 шт.3.1.3 Определяю фонд рабочего времени занеделю без перерывов, Fд,час., по формуле:
/>                                     (3.2)
где n – число рабочих дней внеделе, n = 5;
m – количество смен, m = 1;
Fн – фонд рабочего времени за неделю, Fн = 40 час.;
tр.п– времярегламентированных перерывов, tр.п= 2 ∙ 10 = 20 мин.
/>3.1.4 Определяю коэффициентрегламентированных перерывов, Кр.п,час., по формуле:
/>                                         (3.3)
/>3.1.5 Определяю действительный годовойфонд времени, Fд.г,час., по формуле:
/>,                                      (3.4)
где Fн.г – номинальный фонд рабочего времени за год, Fн.г = 1981 час.
/>3.1.6 Определяю коэффициент периодичностивыпуска, Кп, поформуле:
/>,                                          (3.5)
где М – число рабочих месяцевработающих на выпуск, М = 1.
/>3.1.7 Определяю эффективный годовой фондрабочего времени, Fэф.г,час., по формуле:
/>                                 (3.6)
/>3.1.8 Определяю такт выпуска, τ,мин., по формуле:
/>,                               (3.7)
где Др – число рабочих дней в году, Др = 248;
Fсм – продолжительность рабочего дня безперерывов, Fсм=460мин.;
Кз – коэффициент загрузки, Кз = 0,8.
/>3.1.9 Определяю действительный фондрабочего времени за смену Fд.см,час., по формуле:
/>                                        (3.8)
/>3.1.10 Определяю сменное задание, Nсм, шт., по формуле:
/>                                (3.9)
/>.3.2 Расчет численности рабочих по категориям3.2.1 Определяю штучное время, Тшт, мин., на операцию 005 поформуле:
/>,                                    (3.10)
где Топ берем из таблицы 2.3;
К – процент, учитывающий время обслуживания рабочегоместа, время на отдых, подготовительно-заключительное время, К = 10%.
/>3.2.2 Определяю расчетное количестворабочих мест, Срдля операции 005 по формуле:
/>,                                        (3.11)
где Тшт – штучное время операции 005;
t – такт выпуска, его берем из пункта3.1.7.
/>
Принимаю число рабочих мест Сп = 3.3.2.3 Определяем коэффициент загрузкирабочих мест, h, на операции 005 по формуле:
/>                                     (3.12)
/>
Аналогично провожу расчет дляостальных операций, и результаты заношу в таблицу 3.1.
Таблица 3.1Наименование операции
Tшт, мин.
Ср
Сп
Kз η, % 005 Заготовительная 10,57 2,78 3 0,93 93 010 Сборочная 6,41 1,69 2 0,84 84 015 Монтажная 4,07 1,07 1 1,07 107 020 Регулировка 3,85 1,01 1 1,01 101 025 Контроль 3,74 0,98 1 0,98 98 Итого: 28,64 7,53 8 0,94 94 3.2.4 Определяем средний коэффициентзагрузки рабочих мест, h, по формуле:
/>                                  (3.13)
/>
Рассчитав, коэффициент загрузкикаждой операции и средний коэффициент, для наглядности строю диаграмму загрузкирабочих мест.
/>
Рисунок 3.1 – Диаграмма загрузкирабочих мест3.3 Организация участка и рабочих мест в соответствии с требованиямиНОТ
Научная организация труда – этоорганизация, при которой возможно наиболее эффективное соединение техники илюдей в производственном процессе и обеспечение повышенной производительноститруда при сохранении здоровья человека.
На повышение производительности трудазначительно влияет культура труда, поддержание частоты и порядка на участке ина отдельном рабочем месте.
Для повышения производительноститруда необходимо предусмотреть достаточную освещенность участка (не менее 300 лк),так как снижение освещенности вызывает утомление. Наличие зеленой зоны научастке оказывает положительное психологическое воздействие. Необходима общаявытяжная вентиляция (не менее 0,6 м/с).
Также на участке должно бытьпредусмотрено аварийное освещение.
На рабочих местах необходимопредусмотреть дополнительное местное освещение. Кроме того, необходимо подвестиместную вытяжную вентиляцию к рабочим местам монтажников и слесарей-сборщиков.Необходимо подвести заземление к рабочим местам всех операций. Обеспечитьстульями с регулировкой по высоте. Отопление водяное. Шум в помещении не долженпревышать 70 дБ, относительная влажность воздуха 40...60 %. Содержание свинца ввоздухе не более 0,01 мкр/м2, скорость движения воздуха 0,2...0,4м/мин. Участок должен соответствовать требованиям СН-245-75.
Размеры производственной площадиопределяются на основе технологической планировке мест, в результате которойустанавливаются их взаимное расположение, положение проходов и проездов.
Планировка участка осуществляется водном из пролетов типового производственного здания, поэтому для начала нужновыбрать типовую ширину пролета. Для сборочных цехов и для средних изделийширина пролета должна составлять 12...15 метров.
На плане участка должны быть указаныпереезды, проходы, ширина которых определяется по нормам техническогопроектирования.
При планировке необходимопредусмотреть место контролера, стеллажа под заготовки и комплектующих изделий.Под стеллажи отводится 7...10 % производственной площади, под контрольноеотделение 3...5 %.3.4 Расчет фонда заработной платы3.4.1 Определяю основную заработную платуосновных производственных рабочих, ЗПосн,руб., по формуле:
/>,                                (3.14)
где Тст – часовая тарифная ставка;
tшт – штучное время в часах, определяюпо формуле:
/>,                                       (3.15)
где ТШТ – штучноевремя в минутах, его берем из таблицы 3.1.
/>
/>
Полученные результаты заношу втаблицу 3.2.3.4.2 Определяю дополнительную заработнуюплату основных производственных рабочих, ЗПДОП, руб., по формуле:
/>                                     (3.16)
где ПД – процентдополнительной заработной платы основных производственных рабочих, ПД= 220 %.
/>
Полученные результаты заношу втаблицу 3.2.
Таблица 3.2 также содержит данные онаименовании профессии, разряде, количестве человек.
Таблица 3.2Профессия Разряд Кол-во чел.\ст.
tшт, н–час. Тст., руб.
ЗПосн., руб.
ЗПдоп., руб. Всего ЗП, руб. на одно изделие
на
программу выпуска на одно изделие
на
программу выпуска на одно изделие
на
программу выпуска на одно изделие
на
программу выпуска Слесарь-сборщик 3 3 0,18 353 7,2 1,27 2539,58 2,79 5587,07 4,06 8126,65 Слесарь-сборщик 3 2 0,11 213,98 7,2 0,77 1540,66 1,69 3389,45 2,46 4930,11 Монтажник 4 1 0,07 135,80 8,5 0,58 1154,32 1,27 2539,50 1,85 3693,82 Регулировщик 5 1 0,06 128,46 9,2 0,59 1181,85 1,30 2600,06 1,89 3781,91 всего:   7 0,42 831   3,20 6416,40 7,05 14116,09 10,26 20532,49 3.4.3 Определяю отчисления на социальноестрахование от фонда заработной платы основных производственных рабочих, ОСС,руб., по формуле:
/>                                (3.17)
Отчисления на содержание, социальноестрахование устанавливаются в размере 26,2% от фонда заработной платы.
/>3.4.4 Определяю основную заработную платувспомогательных рабочих и контролёров,ЗПОСН, руб., поформуле:
/>,                                     (3.18)
где ЧР – количествовспомогательных рабочих. Численность вспомогательных рабочих устанавливаем 10%от численности основных производственных рабочих, принимаем за одного человека,их квалификация 3 разряда, также к вспомогательным рабочим относятсяконтролеры, их всего один человек, его квалификация 5 разряда;
ТСТ – часовая тарифная ставка, ТСТ.К.= 9,2 руб., ТСТ.В. = 7,2 руб.;
Т – отработанное время, определяем по формуле:
/>                                      (3.19)
/>
/>
/>
/>3.4.5 Определяю дополнительную заработнуюплату вспомогательных рабочих, ЗПДОП, руб., по формуле (3.16)
Процент дополнительной заработнойплаты вспомогательных рабочих составляет ПД = 170%
/>3.4.6 Определяю фонд заработной платы вспомогательных рабочих, ФЗП,руб., по формуле:/>                                   (3.20)
/>3.4.7 Определяю отчисления на социальноестрахование ОСС от фонда заработной платы вспомогательных рабочих по формуле(3.17)
/>3.4.8 Определяю среднемесячную заработнуюплату основных производственных рабочих, ЗПСР.МЕС, руб., поформуле:
/>,                                  (3.21)
где ЧР – числорабочих, его беру из таблицы 3.2;
КЗ – коэффициент загрузки рабочих мест;
М – число месяцев работающих на выпуск продукции, из пункта3.1
/>3.4.9 Определяю среднемесячную заработнуюплату вспомогательных рабочих, ЗПСР.МЕС, руб., по формуле:
/>                               (3.22)
/>
Таблица 3.3 – Фонд заработной платыучасткаНаименование рабочих Кол-во чел./ст. ЗП осн., руб. ЗП доп., руб. ФЗП, руб. ОСС, руб. ЗПср.мес, руб. Основные 7 6416,40 14116,09 20532,49 5379,51 3666,52 Вспомогательные 2 2707,37 4602,52 7309,89 1915,19 3654,95 Итого: 9 9123,77 18718,61 27842,38 7294,70  
4 Экономическая часть4.1 Расчет себестоимости изделия и отпускной цены4.1.1 Расчет стоимости основных фондов исуммы амортизационных отчислений
К основным фондам относятся те фонды,которые участвуют в процессе производства многократно, не изменяя своейнатуральной формы. Так же к основным производственным фондам относятся средствапроизводства стоимостью свыше 50–кратного размера минимальной заработной платыи сроком службы более года.
На проектируемом участке основнымипроизводственными фондами являются: производственное помещение, рабочие места,приборы и оборудование. С целью компенсации износа основных фондов применяетсясистема амортизационных отчислений [13].
Амортизационные отчисления, А,руб., рассчитываются по формуле:
/>,                                      (4.1)
где СП –номинальная первоначальная стоимость основных фондов, руб.;
НА – норма амортизации, %.
/>
Результаты расчета заносим в таблицу4.1

Таблица 4.1Наименование основных фондов
Кол. м2/шт
Сп, руб.
На, % А, руб Производственная площадь 54 270000 5,2 14040 Агрегат пайки АП-10 1 25820 10 2582 Блок питания Б5-25 2 1750 12 210 Комплект катушек и конденсаторов 2 87 12 10,44 Итого:   297657   16842,44 4.1.2 Расчет стоимости материалов,полуфабрикатов и покупных комплектующих изделий
Оборотные фонды полностьюиспользуются в производственном процессе изготовления изделия и переносят своюстоимость на себестоимость годовой продукции. Этот расчёт делится на расчётрасходов и стоимости материалов и на расчёт расходов и стоимости покупныхкомплектующих изделий, полуфабрикатов, деталей. Цены берём за 2005 год в оптово– розничных магазинах бытовой и радиоэлектронной техники.
Прежде чем приступить к плановойкалькуляции изделия, рассчитаю стоимость материалов, полуфабрикатов и покупныхкомплектующих изделий. Расчет стоимости материалов приведен в таблице 4.2.Расчет стоимости полуфабрикатов и покупных комплектующих изделий приведен в
таблице 4.3.
Таблица 4.2.Наименование материалов Единица измерения Цена за единицу, руб. Норма расхода Затраты, руб.
на одно
изделие
на
программу выпуска
на одно
изделие
на
программу выпуска Припой ПОС-61 кг 150 0,08 160 12 24000 Флюс ФКСП кг 68 0,001 2 0,068 136 Спирт марки А кг 72 0,001 2 0,072 144 Провод МГШВ м 1,5 0,11 220 0,165 330 Итого:         12,305 24610 ТЗР (5%)         0,61525 1230,5 Всего:         12,92025 25840,5
Таблица 4.3.Наименование ПКИ Цена за единицу, руб. Норма расхода, шт. Затраты, руб.
 
на одно
изделие
на
программу выпуска
на одно
изделие
на
программу выпуска
  Плата печатная 30 1 2000 30 60000
  Конденсаторы:          
  К10-17б-15 пФ 1,5 1 2000 1,5 3000
  К10-17б-68 нФ 2 2 4000 4 16000
  К10-17б-0,1 мкФ 2 2 4000 4 16000
  К50-68-47 мкФ-16В 3 3 6000 9 54000
  К50-68-100 мкФ-16В 4 3 6000 12 72000
  Микросхемы:          
  КР140УД1208 8,3 1 2000 8,3 16600
  КР142ЕН5А 5 1 2000 5 10000
  К561ЛН2 3,4 1 2000 3,4 6800
  ATtiny15 67 1 2000 67 134000
  Светодиод АЛ307БМ 3,5 1 2000 3,5 7000
  ЖКИ дисплей HT1613 173 1 2000 173 346000
  Резисторы:          
  С2-33Н-0,125-300 Ом 0,2 1 2000 0,2 400 С2-33Н-0,125-390 Ом 0,2 1 2000 0,2 400 С2-33Н-0,125-1 кОм 0,2 2 4000 0,4 1600 С2-33Н-0,125-3,9 кОм 0,2 3 6000 0,6 3600 С2-33Н-0,125-10 кОм 0,2 3 6000 0,6 3600 С2-33Н-0,125-22 кОм 0,2 1 2000 0,2 400 С2-33Н-0,125-200 кОм 0,2 1 2000 0,2 400 СП3-19а-0,5-1 кОм 4,6 1 2000 4,6 9200 СП3-19а-0,5-10 кОм 4,6 1 2000 4,6 9200 СП3-19а-0,5-22 кОм 4,6 1 2000 4,6 9200 Диоды:           Д311А 3,5 4 8000 14 112000 КД522Б 2,2 3 6000 6,6 39600 Переключатель B170G 7 1 2000 7 14000 Кнопка ПКн150-1 5 1 2000 5 10000 Гнездо BP-121 4,3 2 4000 8,6 34400 Итого:       378,1 756200 ТЗР (5%)       18,905 37810 Всего:       397,01 794020 /> /> /> /> /> /> /> /> /> /> /> />
4.1.3 Расчет плановой калькуляции наизделие
Расчёт приведен в таблице 4.4.
Таблица 4.4Статьи затрат Общие затраты, руб. Примечание на одно изделие
на
программу выпуска
1 Основные материалы, покупные ПФ,
комплектующие изделия 226,38 452760 По расчету 2 Транспортно-заготовительные работы 11,32 22638,0 5% от Ст. 1 3 Основная ЗП производственных рабочих 3,20 6416,40 По расчету
4 Дополнительная ЗП производственных
рабочих 7,05 14116,09 По расчету
5 Отчисления на соц. страхование от
основной и дополнительной ЗП
производственных рабочих 2,69 5379,51 26,2% от (Ст.3+Ст.4) 6 Расходы на содержание и эксплуатацию оборудования:       ­   амортизационные отчисления 1,40 2802,44 По расчету
­   износ, содержание и ремонт
инструментов 1,54 3079,87 15% от (Ст.З+Ст.4) 7 Цеховые расходы:      
­   основная и дополнительная ЗП
вспомогательных рабочих с отчислениями на соц.страхование 4,61 9225,08 По расчету ­   амортизация производственной площади 7,02 14040 По расчету 8 Цеховая себестоимость 265,21 530457 Ст.1+...+Ст.7 9 Общезаводские расходы 2,05 4106,50 20% от (Ст.3+Ст.4) 10 Производственная себестоимость 267,27 534563,90 Ст.8 + Ст.9 11 Внепроизводственные расходы 5,35 10691,28 2% от Ст. 10 12 Полная себестоимость 272,61 545255,17 Cт.10+Cт.11 13 Прибыль 68,15 136313,794 25% от Ст. 12 14 Оптовая цена 340,76 681568,97 Ст12+Ст1З 15 НДС 61,34 122682,4 18% от Ст. 14 16 Отпускная цена изделия 402,10 804251,38 Ст.14+Ст.15
4.2 Расчет технико-экономических показателейучастка
4.2.1 Производственная площадьучастка, Sпр.уч, м2, определена в соответствиис санитарными нормами проектирования промышленных предприятий СН 245-71, инаходится по формуле:
/>                                  (4.2)
где Сп – принятоеколичество рабочих мест, Сп = 9;
Sн – норматив производственной площадина одного рабочего,
Sн = 6 м2.
/>
4.2.2 Определим производительностьтруда на одного основного рабочего, ПТ, руб/чел., по формуле:
/>                                           (4.3)
где ПТ – производительностьтруда;
ТП – объем выпуска товарной продукции;
Чр – численность основныхпроизводственных рабочих, Чр = 6.
/>

4.3 Расчет экономическойэффективности
Годовой экономический эффект – это разностьпроведенных затрат базового и проектируемого варианта технологического процессаизготовления изделия.
4.3.1 Определяю годовой экономический эффект, Эг, руб., по формуле:
/>,            (4.4)
где С1 и С2–полная себестоимость изделия в базовом и проектном варианте;
К1 и К2 – капитальные затраты в базовом ипроектном варианте;
Ен – нормативный коэффициентокупаемости дополнительных капитальных затрат, Ен = 0,2.
/>
Срок окупаемости дополнительных капитальных затрат показываетза сколько лет окупаются дополнительные капитальные затраты в проектируемомварианте.
4.3.2 Определяю срок окупаемости дополнительных капитальныхзатрат, ТОК, год, по формуле:
/>                                     (4.5)
/>
Таблица 4.5 – Технико-экономическиепоказателиНаименование показателей Единица измерения Базовый показатель Проектируемый показатель 1 Годовая программа выпуска изделий шт. 2000 2000 2 Производственная площадь
м2 54 54 3 Численность работающих всего: чел./ст. 12 9 ­   основных производственных рабочих; чел./ст. 9 7 ­   вспомогательных рабочих. чел./ст. 3 2 4 Стоимость основных производственных фондов руб. 270000 297320 5 Среднемесячная заработная плата:       ­   основных рабочих; руб. 3050 3666,52 ­   вспомогательных рабочих. руб. 2900 3654,95
6 Производительность труда на одного
основного производственного рабочего руб./чел. 75729,89 97367,00 7 Себестоимость изделия: ­   цеховая; руб. 270,08 265,21 ­   производственная; руб. 272,17 267,27 ­   полная. руб. 277,61 272,61 8 Оптовая цена изделия руб. 340,76 340,76 9 Отпускная цена изделия руб. 402,10 402,10 10 Фондоотдача   2,52 2,29 11 Фондоемкость   0,40 0,44
12 Выпуск продукции с 1 м2 производственной площади
руб./м2 12621,65 12621,65 13 Годовой экономический эффект руб.   9562,88 14 Срок окупаемости год   0,22
5 Мероприятия по безопасности жизнедеятельности ипротивопожарной технике
5.1 Проведение экологической оценки технологическогопроцесса или конструкции на технически безопасный процесс эксплуатации
Технологический обмен – явление наЗемле принципиально новое и потому порождает немало проблем и противоречий всистеме общество – природа.
Любой технологический процессначинается вводом исходных веществ и завершается в конечном итоге выводомразнообразных готовых изделий и отходов.
 Иными словами технологическийпроцесс в целом включает ввод природных ресурсов, их переработку сиспользованием энергии и вывод в окружающую среду отработанных веществ(производственных и бытовых отходов и отслуживших свой срок готовых изделий).Процесс этот носит линейный, незамкнутый и, следовательно, конечный характер,поскольку возвращение отходов и отработанных изделий в качестве исходного сырьявсё ещё скорее исключение, чем правило.
 Технологический процесс долженсоответствовать нормам экологического контроля.
 Технологический процесс изготовленияне должен наносить вред окружающей среде и людям, работающим на этомпредприятии. Также само устройство должно быть безопасным. Оно не должновыделять неприятного запаха и состоять из радиоактивных элементов и другиххимических элементов, которые могут принести вред человеку и окружающим егоживым существам.
Производственная травма – это травма,полученная работающим на производстве и вызванная чаще всего несоблюдениемтребований безопасности труда.
Для работников промышленныхпредприятий в любых случаях характерными видами являются: травмы механические(ушибы, переломы, вывихи, растяжения), тепловые (ожоги), электрические(электрические удары, металлизация кожи).
Ушибы чаще всего имеют место принеосторожном обращении с инструментом и приспособлениями для обработкиматериалов, пользование неисправным инструментом. Ушибы тела также возможны придвижении по неровной либо скользкой поверхности и в результате падения.Наиболее частые ранения тела, в основном рук, происходят при использованиинеисправной оснастки металлообрабатывающих станков.
Особую опасность представляют раненияглаз в результате попадания инородных тел на роговицу и конъюнктиву глаза.
Тепловые ожоги – это наиболее частыетравмы на производствах, связанных с пайкой.
Особое место среди производственныхтравм занимают случаи поражения человеческого тела электрическим током –электротравмы. При поражении человека электрическим током возникают местныеэлектротравмы в виде поражений кожи, глаз, общие электротравмы – электрическиеудары.
Несовершенство конструкции инеправильная эксплуатация электроприборов, вентиляции, систем отопленияприводят к возникновению пожаров, производственных травм, различной степениожогов и отравлений. Опасность также представляет собой и сам процесс сборки имонтажа изделия. Ведь процесс пайки сопровождается загрязнением воздушнойсреды, рабочих поверхностей, одежды, кожи рук. Работающих на пайке это можетпривести к свинцовым отравлениям организма и вызвать изменение крови, сосудов,нервной системы.

5.2 Мероприятия по улучшению условийтруда
Мероприятия по борьбе с несчастнымислучаями могут быть техническими, санитарно-гигиеническими и организационными.
К техническим мероприятиям относят:замена производственного устаревшего оборудования новым, более безопасным,ограждение опасных зон, механизмов, станков, приспособлений.
Во всех электрических установкахдолжны быть предусмотрены плавкие предохранители, также необходимопредусмотреть автоматические выключатели в цепях питания. Все имеющиеся научастке оборудование должно быть заземлено, кроме того, безаварийная работаоборудования может быть обеспечена путем периодических испытаний егоповышенными нагрузками (напряжением и др.).
Необходимо также применять средстваиндивидуальной защиты работающих от воздействия опасных факторов.
К санитарно-гигиеническиммероприятиям относятся: рациональное освещение рабочих мест и помещений,обеспечение требуемого микроклимата в помещении, мероприятия по борьбе с шумом,рациональное водоснабжение и канализация, обеспечение чистоты рабочих мест,наличие местной вытяжной вентиляции.
К организационным мероприятиямотносятся: своевременное проведение планово-предупредительных ремонтов иосмотров производственного оборудования, а также обучению персонала и проведениеинструктажей по технике безопасности.
Неотъемлемой частью производстваизделий радиоэлектронной промышленности является процесс пайки. Процессдовольно опасный. В целях предупреждения отравления свинцом, участки пайкиоборудуются в соответствии с требованиями санитарных правил. В помещениях, гдепроизводится пайка припоем, содержащим свинец, во избежание попадания свинца ворганизм, не разрешается хранить личные вещи, принимать пищу и курить, а такжестирать рабочую одежду дома. Рабочее место пайки оборудуется местной вытяжнойвентиляцией, обеспечивающей концентрацию свинца не более 0,01 мг/м3.
Для предотвращения ожогов изагрязнении кожи рук рабочим должны выдавать салфетки для удаления лишнегоприпоя с жала паяльника, а также пинцеты для поддержки деталей и проводов, идля подачи припоя к месту пайки. При монтажных работах, связанных с опасностьюзагрязнения или ожога глаз, предусмотрена выдача защитных очков. Наиболееэффективными мерами, предупреждающими профессиональные заболевания при пайке, являетсямеханизация и автоматизация паяльных работ. Но некоторые пайки не поддаютсямеханизации. И для поддержания предупреждения профессиональных заболеванийнеобходимо после окончания работы споласкивать руки специальным раствором,полоскать рот, чистить зубы и принимать душ. Пайка является работой среднейточности, освещенность при этом должна быть не менее 300 лк. [9]
Заключение
Проектируя дипломный проект, я нетолько вспомнил весь курс обучения, но и получил ещё множество других знании вобласти радиоприборостроения. Разработка дипломного проекта помогла мнепровести личную самооценку своих способностей в теории и на практике.Разработка и изготовление печатного узла так же способствовала изучению всех еётонкостей. Процесс разработки производился на современной вычислительной и оргтехнике.
За время работы над дипломнымпроектом я изучил и применил на практике прогрессивные методы конструирования,так же специальную литературу, в том числе ряд стандартов.
В дипломном проекте мною проведеныэлектрические и конструктивные расчеты, а также расчет технологичности инадежности изделия. Мною разработана конструкция печатного узла цифровогоизмерителя L и C. Разработан участок сборки и монтажа.
Произведен расчеттехнико-экономических показателей. В результате отпускная цена составляет 402,10рублей, срок окупаемости 0,22 года и годовой экономический эффект 9562,88 рублей.Количество основных рабочих 7 человек.
В разделе мероприятия по безопасностижизнедеятельности и противопожарной технике проведен анализ видов опасностей научастке. Для устранения этих опасностей разработаны мероприятия по улучшениюусловий труда и мероприятия по технике безопасности.
Список литературы
1Аксенов А. И. Элементы схем бытовой радиоаппаратуры – М.: Радио и связь – 1993
2Дружинин Н. С. Машиностроительное черчение – М.: Высшая школа – 1982
3Дубровский В. В. Резисторы – М.: Радио и связь – 1991
4Лавриенко В.Ю. Справочник по полупроводниковым приборам – М.: Высшая школа –1988
5 Машу Жан-Франсуа. Путеводитель по электронным компонентам –М.: Додэка – 2001
6 Новаченко И. В. Микросхемы для бытовой радиоаппаратуры –М.:
Радио и связь – 1998
7 Ошер Д. Н. Регулировка и испытание РЭА – М.: Энергия – 1978
8 Павлов С. П. Охрана труда в радиоэлектронной промышленности– М.: Радио и связь – 1985
9 Павловский В. В. Проектирование техпроцессов изготовленияРЭА – М.: Радио и связь – 1982
10 ФрумкинГ. Д. Расчет и конструирование РЭА – М.: Высшая школа – 1989
11 Фрунзе А. В. Микроконтроллеры? Это же просто – М.: Скимен– 2002
12 Хлюпин Н. Измеритель LC – Радио №7 – 2004
13Черникова П. Д. Организация, планирования и управления на предприятиях РЭАпромышленности – М.: Высшая школа – 1985
14 ШилоВ. Л. Функциональные аналоговые интегральные микросхемы – М.: Радио и связь –1982
15 Сборникстандартов по ЕСКД
16ОСТ4.Г0.050.009 Нормативы времени по сборочным работам
17 ОСТ4.Г0.050.011 Нормы времени по монтажным работам
18 Маркировка электронных компонентов – М.: Додэка – 2002

Приложение А
Инструкция по регулировке
При регулировке использовать блок питанияБ5–25 и комплект катушек и конденсаторов.
Регулировщик должен откалиброватьизмеритель. Схема соединений приборов показана на рисунке А.1.
/>
Рисунок А.1 – Схема соединенийприборов.
Регулировку начинают с установки нуляDA1, движок резистора R8 должен быть в среднем положении, а тумблер SA1желательно отсоединить от платы для снижения паразитной емкости входа.Показания индикатора при этом должны быть в пределах 0...3. Затемвосстанавливают соединение SA1, нажимают и отпускают кнопку SB1. Через 2 сек.индикатор должен показывать 0...±1. После этого к входным клеммам подключаютобразцовую емкость и вращая движок R8 добиваются соответствия показаний истинномузначению емкости. Цена младшего разряда 0,1 пФ. Затем необходимопроконтролировать весь диапазон и, при необходимости, уточнить положение движкаR8 добиваясь точности не хуже 2...3%. Допустима и подстройка нуля, еслипоказания в конце шкалы немного занижены или завышены. Но после каждогоизменения положения движка R11 следует отключать измеряемую емкость и нажиматькнопку установки нуля.
Настроив прибор в режиме измеренияемкости следует перевести SA1 в нижнее по схеме положение, закоротить входныегнезда и нажать SB1. После коррекции нуля на вход подключается образцоваякатушка и резистором R3 выставляются необходимые показания. Цена младшегоразряда 0,1 мкГн. При этом следует обратить внимание, чтобы сопротивление R3было не менее 800 Ом, в противном случае следует уменьшить номинал R2. Если R3получается больше 1 кОм, R2 надо увеличить. Т.е. R2 и R3 должны быть близки пономиналу. Такая настройка обеспечивает примерно одинаковую постоянную времени«заряда» и «разряда» катушки и, соответственно, минимальнуюпогрешность измерения. На этом регулировка будет выполнена.


Не сдавайте скачаную работу преподавателю!
Данный реферат Вы можете использовать для подготовки курсовых проектов.

Поделись с друзьями, за репост + 100 мильонов к студенческой карме :

Пишем реферат самостоятельно:
! Как писать рефераты
Практические рекомендации по написанию студенческих рефератов.
! План реферата Краткий список разделов, отражающий структура и порядок работы над будующим рефератом.
! Введение реферата Вводная часть работы, в которой отражается цель и обозначается список задач.
! Заключение реферата В заключении подводятся итоги, описывается была ли достигнута поставленная цель, каковы результаты.
! Оформление рефератов Методические рекомендации по грамотному оформлению работы по ГОСТ.

Читайте также:
Виды рефератов Какими бывают рефераты по своему назначению и структуре.