Реферат по предмету "Коммуникации и связь"


Налагодження пристроїв релейного захисту та автоматики

Національний університет «Львівська політехніка»
Інститут енергетики та систем керування

Конспект лекцій з дисципліни
«НАЛАГОДЖЕННЯ ПРИСТРОЇВ РЕЛЕЙНОГО ЗАХИСТУ ТААВТОМАТИКИ»

Підготував доцент кафедри
«Електричні системи та мережі»
Кідиба В.П.

Львів – 2010

ЦИФРОВІПРИСТРОЇ ЗАХИСТУ ТА АВТОМАТИКИ
 
1. Загальнахарактеристика цифрових пристроїв захисту та автоматики
 
Останніми рокамив енергосистемах України широко впрваджуються пристрої релейного захисту,виконані на цифрових принципах. За кордоном такі пристрої впроваджуютьсяв експлуатацію вже на протязі більше двох десятків років. Тому не дивно, щозакордонні фірми, які займаються розробкою цифрових пристроїв релейного захистута автоматики, мають суттєву перевагу у порівнянні з вітчизняними виробникамиподібної техніки.
Найбільш відомимизарубіжними фірмами в області розробок цифрових пристроїв релейного захисту таавтоматики є ABB, SIEMENS, ALSTOM, GENERAL ELECTRIC.
В літературідосить часто цифрові пристрої ще називать мікропроцесорними. На наш погляд цене зовсім вірно. Мікропроцесор – це є один з основних елементів багатьохпристроїв релейного захисту та автоматики. Але є пристрої, які виконані наоснові мікроконтролерів. Є більш складні пристрої релейного захисту, автоматики,об‘єднані в спільну інформаційну мережу, для організації якої використовуютькомп’ютери з потужними процесорами. Спільним для всіх цих технічнихелементів є використання цифрових принципів їхнього функціювання. Томудоцільно всі ці пристрої називати цифровими пристроями релейного захисту.
У порівнянні зтрадиційними електромеханічними та напівпровідникковими пристроями релейногозахисту цифрові пристрої мають ряд суттєвих переваг, що робить їх застосуванняв енергосистемах на даний час практично безальтернативним. Основними з них є:
Ÿ більш висока точністьвідтворення заданих характеристик функціонування пристрою. В цілому, апаратна похибкацифрових захистів може досягати до 2%. Так, один з основних параметріввимірювальних органів захисту – коефіцієнт повернення – може мати значення0,99. Досягненя такого значення коефіцієнта на напівпровідникових таелектромеханічних реле потребує складних технічних рішень. Прикладом такогореле є захист від симетричного перевантаження статора генератора, виконаного наспеціальному реле РТВК. Це реле виконано на напівпровідникових елементах ідозволяє збільшити коефіцієнт порвернення до 0,99. Про те воно є дорогим тагроміздким. Висока точність відтворення характеристик захистів дозволяє змінитидеякі параметри узгодження між захистами суміжних елементів електричної мережі.Наприклад, можна зменшити ступінь селективності для максимальних струмовихзахистів суміжних елементів мережі, що в свою чергу зменшить час їх спрацюванняі, як наслідок, час ліквідації аварії;
·  отримання характеристикбудь-якої складності. Це особливо є актуальним для дистанційних захистів,вимірні органи яких можуть мати які завгодно характеристики і враховуватибудь-які особливості режимів, що можуть виникати в енергосистемі. При цьомузміна форми характеристик не потребує ніяких додаткових технічних переробок –вона змінюється на алгоритмічному рівні;
·  запам‘ятовування координатрежиму під час спрацювання цифрового пристрою. Практично всі цифрові захистизапам‘ятовують координати режиму аварійного та доаварійного режиму, що даєзмогу експлуатаційному персоналу здійснювати глибокий аналіз аварійнихситуацій, визначати причини аварії і на основі цього при необхідностіуточнювати та змінювати характеристики захистів та автоматики;
·  можливість змінюватиконфігурацію пристрою. В поцесі розвитку мережі може виникнути необхідність взміні характеристик пристроїв захисту – змінити уставки, ввести або вивести зроботи деякі функції тощо. Такі зміни не потребують ніяких технічних витрат,тому що вони здійснюються на програмному рівні;
·  універсальність. Цяособливість цифрових пристроїв в більшій мірі стосується розробників, а неексплуатацію. Використовуючи універсальний процесорний модуль, відкоректувавшивхідні та вихідні кола, змінюючи алгоритм функціювання, можна створювати різнітипи захистів та автоматики;
·  значно менші габарити таменші затрати електротехнічних матеріалів. Один невеликий за розміром цифровийпристрій може замінити цілу групу складних реле, виконаних на напівпрвідникахабо електромеханічних елементах. Наприклад, напівпровідниковий дистанційнийзахист типу ПДЕ від міжфазних к.з. має дев‘ять вимірних дистанційних органів,кожен з яких виконаний у вигляді окремого модуля. В цифровому ж пристроїхарактеристики всіх цих вимірних органів задаються на програмному рівні іреалізуються віртуально в процесорі;
·  можливість самодіагностики.Алгоритми функціонування сучасних цифрових пристроїв захисту, особливоскладних, обов‘язково включають функцію самодіагностики, яка періодичноздійснює контроль справності всіх складових пристрою – вхідних кіл, вихіднихкіл, цифрових елементів і при виявленні несправностей робота пристроюблокується з автоматичним повідомленням про це черговому персоналу. Традиційніж пристрої релейного захисту, особливо електромеханічні, такої можливості немають і є багато випадків в експлуатації, коли при виникненні аварії ціпристрої не спрацьовували і після аналізу виявлялось, що вони були несправними,про що оперативний персонал і не здогадувався;
·  менше споживання енергії дляфункціювання, що суттєво зменшує потужність джерел енергії оперативного струму;
·  менше навантаження тапервинні вимірювальні трансформатори струму та напруги. Потужність споживаннясучасних цифрових пристроїв релейного захисту складає до 0,5 ВА. Це дає змогупід‘єднувати до первинних вимірювальних трансформаторів струму та напругибільшу кількість пристроїв релейного захисту та автоматики, забезпечуючи прицьому роботу трансформаторів струму та напруги в заданому класі точності;
·  простота в експлуатації. Підчас проведення планових профілактичних робіт немає необхідності перевірятихарактеристики окремих складових елементів, як в традиційних пристрояхрелейного захисту, тому що фізично їх немає, їхні харакетристики реалізованіпрограмно. Тому перевіряються лише загальні характеристики функкціювання. Цесуттєво зменшує номенклатуру робіт і відповідно час перевірки пристроїв.

2. Структурнасхема цифрового пристрою РЗА
Незалежно відпризначення цифрових пристроїв релейного захисту – струмові, дистанційні тощо –вони мають схожу структуру, яка наведена на рис. 2.1.
/>
Рис.2.1. Структурнасхема цифрового захисту
Основним елементом цифровогозахисту є процесор, на якому реалізований алгоритм роботи конкретногозахисту. В залежності від призначення пристрою та фірми виробника може бутизастосований один процесор або декілька. Так, фірма АВВ надає перевагубагатопроцесорним системам, в яких кожен процесор виконує конкретні задачіалгоритму і ці процесори працюють паралельно. Це дозволяє забезпечити потрібнушвидкодію та точність. Інші фірми застосовують однопроцесорні системи, щовимагає для забезпечення потрібних характеристик застосування більш потужнихпроцесорів. Враховуючи важкі умови експлуатації пристроїв релейного захисту (набагатьох підстанціях ці пристрої працюють в неопалювальних приміщеннях),застосовують спеціальні процесори так званого індустріального виконання, якіможуть працювати при температурі оточуючого середовища від мінус 30 до плюс 50°С, відносній вологості до 80%.
Процесор маєзв‘язок з об‘єктом захисту через кола вводу – виводу. Вхідноюінформацією є, як правило, аналогові сигнали – струми, напруги,температура тощо та бінарні – положення комутаційних апаратів, станвихідних реле інших пристроїв релейного захисту та автоматики тощо. Вихіднимисигналами цифрових захистів, як і інших захистів є традиційно бінарнісигнали. Ці сигнали поступають після спрацювання цифрового захисту в колауправління та в кола сигналізації.
2.1Перетворення аналогових сигналів
Контрольованінапруги та струми є неперервними в часі аналоговими сигналами і можутьприймати на фіксованому відрізку часу будь-які значення в межах, обумовленимирежимом роботи електричної мережі. Цифрові пристрої захисту працюють не заналоговими, а з дискретними (цифровими) сигналами, котрі можуть приймати навідміну від аналогових сигналів лише кінцеву множину значень для конкретнихмоментів часу. Процес перетворення аналогових сигналів в дискретні називається дискретизацієабо квантуванням. Пристрій, який здійснює це перетворення називається аналогоцифровимперетворювачем (АЦП).
Попередньоаналогові сигнали, які контролюються пристроями захисту – це струм відтрансформатора струму ТА та трансформатора напруги TV підводяться до спеціальних вхіднихперетворювачів (на схемі це TAL та TVL). Ці перетворювачі призначені для гальванічної розв‘язкипристрою від зовнішніх кіл (трансформаторів струму та трансформаторів напруги),а також для отримання нормованої напруги на виході з подальшим її перетвореннямАЦП в цифрові сигнали.
На рис. 2.2 наведені принципові схеми вхіднихперетворювачів струму та напруги, відповідно рис. 2.2а) та рис. 2.2б).
/>
Рис. 2.2.Принципові схеми вхідних перетворювачів струму а) та напруги б).
Сигнали відтрансформаторів струму TA та напруги TV подаються на первинні обмоткипроміжних трансформаторів TAL та TVL. На вторинних обмотках цих трансформаторів відповіднострум та напруга перетворюються в напруги, пропорційні відповідно величиніструму та напруги. Для того, щоб імпульсні сигнали, які можуть виникати увторинних колах трансформаторів струму та напруги, не попадали в електроннучастину цифрового пристрою та не пошкоджували його, між первиинною та вторинноюобмотками проміжних трансформаторів TAL та TVLвстановлюють екран. Для захистуелектронних блоків цифрового пристрою від перенапруг паралельно до вториннихобмоток проміжних трансформаторів TAL та TVLвстановють варистори RV. В деяких схемах для захистузастосовують стабілітрони. Для узгодження вторинної напруги на виході проміжнихтрансформаторів з вхідними сигналами пристрою АЦП служать змінні опори R. Для правильної роботи АЦП необхідноунеможливити попадання на нього високочастотного спектру сигналу. Томузастосовується високочастотний фільтр, який виконаний на основі опору Rф та ємності Сф. Слід відмітити, що під часреалізаціїї алгоритму функціонування захисту додатково здійснюється цифровафільтрація сигналу. Вихідні сигнали uвих з вхідних перетворювачів TAL та TVLпоступають на вхід АЦП.
Перехід віданалогового неперервного сигналу до дискретного супроводжується деякою втратоюінформації. Це пояснюється тим, що АЦП здійснює перетворення вхідногоаналогового сигналу в дискретний через деякі часові проміжки />, а між ними значеннявхідного сигнал не контролюється (рис. 4). Чим менший цей часовий проміжок, тимточніше відтворюється аналоговий сигнал в цифровій формі. Основнимихарактеристиками АЦП є його розрядність та інтервал дискретизаціїсигналу за часом. Дискретизація сигналу за часом ще називають частотою виборок,яка пов’язана з дискретизацією за часом /> виразом:
/>                                                                        (2.1)
Для періодичногосигналу з періодом Т можна визначити за відомою частотою кількістьвиборок за період:
/>                                                                      (2.2)
Для періодичногосигналу існує взаємозв’язок між верхньою частотою сигналу, який кантується такількістю виборок за період. Вченими К. Шенноном та В. Котельниковим ще в 30-хроках було доведено, що для точного відтворення первинного періодичного сигналуз його дискретного представлення необхідно, щоб частота виборок /> повинна хоча б в дварази перевищувати максимальну частоту вхідного періодичного сигналу />:
/>                                                                  (2.3)
Це відповідаємаксимальному числу виборок за період
/>                                                         (2.4)
При заданомумаксимальному значенні числа виборок />необхідно з вхідного аналоговогосигналу виключити всі сигнал з частотою, вищою від />. В протилежному випадку післязворотнього перетворення сигналу в ньому з’явиться сигнал пониженої частоти,який спотворить реальний вхідний сигнал. Тому на вході АЦП застосовують фільтрвищих гармонік з смугою пропускання не вище ніж частота />. На схемі рис. 2.2 цейфільтр реалізований на основі RC елементів/> та />.
В сучаснихцифрових пристроях РЗА застосовують АЦП з частотою виборок до 2000 Гц, щовідповідає 40 виборкам за період промислової частоти 50 Гц. Пристрої з такоючастотою виборок дозволяють контролювати вхідний сигнал з частотою до 1000 Гц.Це відповідає 20 гармоніці при основній частоті 50 Гц.
2.2 Вхіднібінарні сигнали
Для роботизахисту, крім аналогових сигналів, необхідно мати також інформацію про бінарнісигнали від інших пристроїв релейного захисту та автоматики, положеннякомутаційних апаратів тощо. На практиці ці сигнали ще називають дискретними.Щоб не плутати ці сигнали з дискретними сигналами, які отримуються післяквантування пристроєм АЦП аналогових сигналів в подальшому будемо їх називати бінарними.Наприклад, з метою реалізації функції АПВ, ПРВВ; необхідно мати інформацію простан вимикача, на який діє даний захист, для прискорення дії даного захисту покоманді від захисту шин необхідно мати інформацію від вихідних кіл захисту шинтощо. На рис. 2.1 бінарний сигнал від зовнішнього пристрою (умовно показаний увигляді зовнішнього реле KL) подається на вхідний перетворювач бінарних сигналів TL1.
В сучаснихцифрових пристроях бінарні сигнали від зовнішніх пристроїв подаються через оптрони.Оптрон представляє собою електронний ключ у вигляді транзистора VT (рис. 2.3), який керуєтьсясвітлодіодом VD. Під часпротікання струму через світлодіод (струм через світлодіод починає протікати після замиканняконтакта KL), останній подає сигнал на базутранзистраVT,який спрацьовує і на його виході з’являється сигнал Uвих, який сигналізує про зміну станубінарного входу. Час спрацювання такого перетворювача мізерний і складає долімікросекунди.
/>
Рис. 2.3.Схема вводу дискретного сигналу
 
Для організаціїпротікання струму через світлодіод VD після спрацювання зовнішнього контакта KL використовується зовнішнєджерело оперативного струму, як правило напругою 220 В (зрідка 110 В). Це єнедоліком даної схеми. Тому що навіть після вимкнення від оперативного струмузовнішнього пристрою, де встановлене реле KL, на контактах цього реле присутнянапруга від оперативних кіл. Це є небезпечним для обслуговуючого персоналу.Тому для запобігання ураження електричним струмом обслуговуючого персоналу підчас проведення планових робіт для ініціалізації бінарних входів на іншихпристроях, які мають зв’язок з даним пристроєм, застосовують джерелооперативного струму з пониженою напругою, наприклад джерело напругою 24 В (рис.2.4), яке реалізоване на інверторному перетворювачі UVZ.
/>
Рис. 2.4.Схема вводу дискретного сигналу на пониженій напрузі
 
Але така схемамає два суттєвих недоліки. По перше, вона менш надійна, ніж схема, наведена нарис. 2.3 за рахунок наявності інверторного перетворювача UVZ. Технічно це досить складнийннапівпровідниковий елемент, який попередньо здійснює пертворення постійногоструму напругою 220 В в змінну напругу підвищеної частоти, наприклад, 400 Гц.Після цього здійснюється перетворення цієї змінної напруги у постійну напругу24 В з відповідною стабілізацією. Технічна реалізація такого складногоперетворення понижує надійність функціювання перетворювача та схеми в цілому.Як показав досвід експлуатації схем з такими перетворювачами, наприклад панелейсерії ПДЕ, найбільш ненадійним елементом таких схем є блоки живлення, які реалізованіна основі саме інверторних перетворювачів.
Крім того,застосування пониженої напруги в колах, де комутуються контакти реле KL (рис. 2.4), може приводитидо незамикання кола контактами реле KL. Це пояснюється наступним чином. Зчасом в процесі експуатації поверхні цих контактів окислюються і після їхзамикання стум в колі через ізолюючий окислений шар протікати не буде – схемапрацювати не буде. У випадку ж застосування напруги 220 В після замиканняокислених контактів окислений шар буде пробиватись під дією цієї підвищеноїнапруги і в колі буде протікати струм, достатній для спрацювання схеми контролюбінарних вхідних сигналів (рис. 2.3).
Під часреалізації схеми вводу бінарного сигналу на основі оптрона, який споживаєнезначний струм (до 5 мА) слід пам’ятати, що можливе хибне спрацювання такоїсхеми за рахунок паразитних ємностей (рис. 2.5), яка є між кабелями, якіздійснюють зв’язок між окремими пристроями.
/>
Рис. 2.5.Хибне спрацювання дискретного входу
Наприклад, реле KL2 з’єднане з іншим пристроєм задопомогою довгого кабеля. Так само довгим кабелем з’єднане реле KL, стан контактів якого контролюєтьсяоптроном VD(рис. 2.5). Ці кабелі прокладені поряд в одномуканалі. Тому між ними є електричний зв’язок за рахунок паразитної ємності СП(на рис. 5 для простоти показана результуюча ємність між двома кабелями,насправді ця ємність є розподілена вздовж спільної ділянки між ними). Під часспрацювання ключа S в перехідному процесі через паразитну ємність СП в колі оптрона з’являєтьсясигнал, який може привести до його спрацювання. Це спрацювання буде хибним,тому що згідно схеми оптрон VD повинен контролювати стан контакта реле KLа не положення ключа S. Про те, цей сигнал буде тільки підчас перехідного процесу, пов’язаного з комутацією ключа S. Тому, якщо на виході схемипоставити елемент затримки часу DTпорядка на 3 мс, можна відлагодити дану схему від хибноїроботи.
2.3Перетворення та зберігання інформації в цифровому пристрої РЗА
Цифрові сигналивід АЦП поступають в процесор, де вони обробляються за певним алгоритмом,реалізованим у вигляді програми.Сама програма зберігається в постійному запам‘ятовуючому пристрої (ПЗП)(ROM – Read Only Memory – лише для читання). Це є перепрограмовуванийпостійний запам‘ятовуючий пристрій з енергонезалежною пам‘ятю, тобто інформаціяв ньому зберігається навіть тоді, коли пристрій є вимкненим від зовнішньогоживлення.
Для зберіганнярезультатів проміжних обчислень використовують оперативний запам‘ятовуючийпристрій (ОЗП) ( RAM – Random Access Memory – пам‘ять з „випадковим” доступом). ОЗП маєвисоку швидкодію, але не зберігає інформації після вимкнення зовнішньогоживлення.
Уставки спрацюваннязахистів, які потрібно змінювати в процесі експлуатації, зберігаються впостійному перепрограмовуваному запам‘ятовуючому пристрої (ППЗП), якийдопускає багатократну зміну уставок. При цьому інформація про уставкизберігається після зникнення зовнішнього живлення.
На передній панелі пристрою розміщений пульт управління(клавіатура), придопомозі якого можна задавати необхідний режимпристрою та змінювати уставки спрацювання.
Результати роботипристрою та уставки відображаються на рідкокристалічному індикаторі,який також знаходиться на передній панелі пристрою.
Після спрацюванняпристрою замикаються вихідні контакти реле KL1 таKL2.

3.Вибір параметрів спрацюваннядистанційних захистів фірми SIEMENS
В сучаснихцифрових захистах використовуються в основному характеристики, форма якихпредставлена на рис. 3.1. Методика розрахунку таких характеристик дещо відрізняєтьсявід методики розрахунку уставок для традиційних дистанційних захистів,виконаних не на цифровому принципі. Для прикладу розглянемо методику розрахункупараметрів спрацювання дистанційного захисту 7SA502 фірми SIEMENS.
На рис. 3.1 наведені характеристики вимірнихорганів дистанційного захисту 7SA502.На цьому рисунку наведені форми характеристик першої, другої, третьої ступеней,пускової зони та зони хитань. Призначення зони хитань розглянемо нижче.
/> 
Рис. 3.1.Характеристики вимірних органів дистанційного захисту фірми SIEMENS
Розрахунокуставок спрацювання дистанційного захисту починається з відображення на рисункугальванічно з’єднаних елементів електричної мережі, де буде встановленийдистанційний захист. На цьому рисунку наносяться довжини ділянок ліній з їхпервинними реактивними опорами ( Х Ом/фазу). Реактивні опори Х ліній євизначальними для визначення зон дії окремих ступеней дистанційного захисту.Тому уставки спрацювання відображаються саме для реактивних складових опору (рис. 3.2).
/>
Рис. 3.2. Зонидії окремих ступеней дистанційного захисту
Розглянемо, яквизначається уставки спрацювання – реактивний та активний опори спрацювання тачас для захисту лінії без відгалужень. Окремо визначаються уставки зареактивним опором, окремо – за активним.
 
Розрахунок уставокспрацювання за реактивним опором
I–а ступінь
Перша ступінь вибираєтьсяз умови забезпечення селективності роботи захисту (неспрацювання під час к.з.на суміжній лінії Л2) і захищає порядку 85% довжини лінії Л1. Час її спрацювання складає 0,02 – 0.04 с. Значенняпервинного реактивного опору першої ступені визначається з виразу:
/>                                                     (3.1)
де /> – реактивна складова опорулінії Л1.
I–а ступінь після АПВ
Перша ступінь з дією після АПВ призначенадля захисту лінії, коли дія АПВ є неуспішною і пошкодження слід вимикати повторно, але з меншою витримкою часу – з часом діїпершої ступені. З цією метою розширюється зона дії першої ступені. Вона охоплюєпорядку 120% її довжини. Значення первинного опору спрацювання цієї ступенівизначається з виразу:
/>                                                (3.2)
 
II–а ступінь
Умовою виборуопору спрацювання II-їступені є умова узгодження з роботою I-ї ступені дистанційного захисту суміжного елемента (лінії Л2)– зона дії II-ї ступені не повиннавиходити за межі другої лінії, а з врахуванням забезпечення селективності роботи(щоб вона не спрацьовувала під час к.з. в кінці лінії Л2) ‑ за межі роботи I-ї ступені захисту суміжного елемента(лінії Л2). Тому вона повинна охоплювати повністю лінію Л1 тапорядку 80% довжини суміжної лінії – лінії Л2:
/>                                     (3.3)
де /> – реактивна складоваопору лінії Л2.
Час спрацювання другоїступені вибирається на ступінь селективності більшим часу спрацювання першоїступені захисту суміжного елементу – лінії Л2:
/>                                                      (3.4)
де /> – ступіньселективності.
Ступіньселективності повинна враховувати час спрацювання вимикача Q2 суміжного елемента (лініїЛ2), часу повернення вихідних кіл захисту суміжного елемента зврахуванням розкиду їхніх часових характеристик.
Час спрацювання другоїступені становить порядку 0,3 – 0,4 сек.
III–а ступінь
Третя ступіньдистанційного захисту виконує функцію ближнього резервування – резервує роботупершої та другої ступеней. Крім того, вона може виконувати функцію дальньогорезервування – резервувати роботу захистів суміжного елемента – лінії Л2.Тому вона повинна повністю охоплювати як лінію Л1, так і лінію Л2.Для забезпечення надійності дальнього резервування уставка спрацювання третьоїступені вибирається з умови охоплення ділянки третьої лінії Л3 – порядку80% довжини лінії Л3. Виходячи з цих умов уставка спрацювання третьоїступені визначається з виразу:
/>                       (3.5)
Час спрацювання третьоїступені дистанційного захисту вибирається на ступінь селективності більшим часуспрацювання третьої ступені захисту суміжної лінії – лінії Л2:
/>                                                       (3.6)
Пускова зона призначенадля виявлення пошкодження в мережі та запуску алгоритму дистанційного захисту.Опір спрацювання пускової зони в спрямуванні потужності від шин в лінію визначаєтьсяяк подвоєне значення уставки спрацювання третьої ступені:
/>                                                   (3.7)
Опір спрацювання пусковоїзони в напрямку потужності від лінії до шин визначається як половина відзначення уставки спрацювання пускової зони в прямому спрямуванні:
/>                                                      (3.8)
Розрахунок уставокспрацювання за активним опором
Для визначення уставокспрацювання дистанційного захисту за активним опором під час однофазного к.з.приймається максимальне значення напруги електричної дуги 12 кВ, мінімальнийструм в місці пошкодження приймається 1000 А.Для таких прийнятих параметрів первинне значення опору дуги складає
/>                                      (3.9)
В імпедансній площинізона дії обмежується по осі абсцис уставками, які відповідають активному опору(рис. 3.1). Ці уставки для кожної зониспрацювання визначаються наступним чином.
I–а ступінь
Перша ступіньвибирається, як і перша ступінь за реактивною складовою, з умови забезпеченняселективності роботи захисту (неспрацювання під час к.з. на суміжній лінії Л2)і захищати порядку 85% довжини лінії Л1, але на відміну від реактивноїскладової, вона повинна враховувати опір дуги:
/>                                           (3.10)
де /> – активний опір лінії,яка захищається; /> – опір дуги. У формулі (3.10) враховується тільки половина опорудуги, тому що він додається до повного опору шлейфа і тому входить в повнийопір кожної фази лише наполовину.
Другою умовою виборууставки спрацювання першої ступені є відлагодження від хибного спрацювання підчас максимального навантаження лінії:
/>                                                         (3.11)
де: /> – номінальна напругалінії; /> –максимальний струм в лінії, який визначається максимальним навантаженням:
/>                                                        (3.12)
де: /> – максимальнапотужність, яка передається по лінії.
Коефіцієнт 0,9 в формулі(3.11) визначає мінімальну напругу лінії.
З двох умов (3.10) та (3.11) вибирається менше значення.
II–а ступінь
Умовою вибору опоруспрацювання II-ї ступені аналогічно як і для II ступені за реактивною складовою єумова узгодження з роботою I-їступені дистанційного захисту суміжного елемента (лінії Л2) – зона дії II-ї ступені не повинна виходити замежі другої лінії, а з врахуванням забезпечення селективності – за межі роботи I-ї ступені захисту суміжного елемента(лінії Л2). Тому вона повинна охоплювати повністю лінію Л1 тапорядку 80% довжини суміжної лінії – лінії Л2 з врахуванням опору дуги:
/>                          (3.13)
де /> – активна складоваопору лінії Л2.
Другою умовою виборууставки спрацювання другої ступені є відлагодження від хибного спрацювання підчас максимального навантаження лінії, згідно (3.11).
З двох умов (3.11) та (3.13) вибирається менше значення.
III–а ступінь
Уставка спрацюваннятретьої ступені за активною складовою аналогічно як і за реактивною складовою зврахуванням опору дуги визначається з виразу:
/>            (3.14)
Другою умовою виборууставки спрацювання третьої ступені за активною складовою опору є відлагодженнявід хибного спрацювання під час максимального навантаження лінії, згідно (3.11).
З двох умов (3.11) та (3.14) вибирається менше значення.

4.ЦИФРОВІ ЗАХИСТИ ТРАНСФОРМАТОРІВ
4.1Загальна характеристика цифрових захистів трансформатора
Сучасні цифровізахисти трансформаторів виконують у вигляді багатофункціональних комплексів.Тобто один пристрій може виконувати функції диференційного захисту, захисту віднадструмів зовнішніх к.з., захисту від перевантажень, захисту від короткихзамикань на землю, виконувати функції вимірювання, реєстрації параметріваварійних режимів, деякі функції автоматики, керування тощо. До того ж основні характеристикицифрових захистів та автоматики мають суттєво кращі показники, ніж захисти,реалізовані з використанням електромеханічних чи напівпровідникових елементів.
Для прикладу розглянемоцифровий пристрій фірми ALSTOM R3IPM, призначений для захистутриобмоткового трансформатора (автотрансформатора).
Схема під’єднанняцього пристрою до вторинних кіл трансформаторів струму триобмотковоготрансформатора наведена на рис. 4.1. На рисунку використано умовні та позиційніпозначення, прийняті згідно класифікації ANSI з РЗА (повна класифікація кодів ANSI наведена в додатку).
Пристрій виконуєнаступні функції:
· трифазний диференційнийзахист трансформатора;
· двоступеневий трифазнийзахист від перевантажень;
· трифазний максимальнийструмовий захист від надструмів зовнішніх к.з.;
· захист від замикань наземлю;
· резервування відмовивимикача;
· вимірювання фазних струмів зусіх сторін трансформатора;
· вимірювання тазапам’ятовування координат режиму та параметрів спрацювання захистів під часаварій у мережі: струмів замикання на землю, максимальних значень струмів к.з.,значень струмів у струмових колах диференційного захисту;
· діагностування резервувимикачів – вимірювання та запам’ятовування рівня зношення полюсів вимикача (/>);
· реєстрування струмів к.з.;
· реєстрування логічнихсигналів захистів, в тому числі реєстрування спрацювання окремих ступенівзахисту;
· реєстрування логічнихсигналів від зовнішніх пристроїв;
· керування зовнішнімипристроями;
· пересилання інформації навищі рівні керування через комп'ютерну мережу.
Для зв’язку іззовнішніми пристроями захист має 7 входів (на рис. 8.39 “вхід1” ¸“вхід7”), функції п’яти з якихпризначають довільно. Це може бути керування даного пристрою іншими пристроями,блокування його роботи, перемикання груп уставок захистів тощо.
Пристрій має 12вихідних реле (на рис. 4.1 Х1 ¸Х12), функції 11 із яких задаютьдовільно. Це може бути дія на вихідні кола вимикання вимикачів, дія в коласигналізації, дія на інші вихідні пристрої тощо. Одне вихідне реле (Х12)використовують для діагностування справності пристрою.
На лицевій панеліпристрою розміщено 13 світлодіодів, функції 6 із них задають довільно, здопомогою решти контролюють параметри пристрою, наприклад, наявність напругиживлення, увімкнений стан пристрою, несправність тощо.
Для керуванняпристроєм на лицевій панелі розміщена клавіатура, а для контролю за параметрамиспрацювання пристрою (уставками, константами тощо) на передній панелі розміщенодисплей на рідких кристалах.
Керуванняпристроєм, його налагодження можна здійснювати від персонального комп'ютера,який під’єднують до послідовного портуна лицевій панелі пристрою, або через інтерфейс локальної мережі.
Схема під’єднаннята розрахунок параметрів спрацювання цифрового пристрою має ряд особливостей.
Вторинні обмоткитрансформаторів струму зі всіх сторін силового трансформатора з’єднують узірку, незважаючи на схеми з’єднання обмоток силового трансформатора. Це даєможливість розвантажити ті трансформатори струму, які для організаціїтрадиційного диференційного захисту з’єднувались в трикутник. Корегуванняфазового зсуву, викликаного різними групами з’єднання обмоток трансформатора, атакож відлагодження диференційного захисту від хибної роботи під час зовнішніходнофазних к.з. реалізують на цифровому рівні алгоритмічно. Для цього увихідній інформації задають характер з’єднань обмоток силового трансформатора,групи з’єднань. У пристрої передбачена можливість задавати полярність трансформаторівструму. Вимірювання струмів від трансформаторів струму може здійснюватись беззміни знаку, або знак може інвертуватись. Тобто на цифровому рівні можназдійснювати зміну полярності трансформатора струму, не змінюючи фізично йогопід’єднання у вторинних колах трансформаторів струму.
Уставки спрацювання заструмом окремих захистів задають у відносних одиницях, зведених до номінальнихвторинних струмів трансформаторів струму – 5А або 1А.
Для роботи цифровогопристрою необхідно ввести ряд констант. Вони можуть вводитись з клавіатури,розміщено на лицевій панелі або з комп'ютера через спеціальний інтерфейс (нарис. 8.39 “Інтерфейс ПК”). До цієї інформації належать:
· номінальна частота системи (50 Гц,60Гц);
· номінальні струми силовоготрансформатора для всіх сторін;
· номінальна потужність кожної сторонисилового трансформатора;
· номінальні первинні та вторинніструми всіх трансформаторів струму, як фазних, так і трансформатора струмунульової послідовності;
· базові струми для кожної сторонисилового трансформатора, які визначають як відношення номінального струмусилового трансформатора до номінального первинного струму трансформатора струмувідповідної сторони.
Розрахунок параметрівспрацювання окремих захистів, виконаних на цифровому пристрої R3IPT має ряд особливостей у порівнянні зрозрахунком параметрів спрацювання захистів, реалізованих з використаннямелектромеханічної та напівпровідникової базі, які були розглянуті у попередніхрозділах. Розглянемо розрахунок параметрів спрацювання основних захистів,виконаних із використанням пристрою R3IPT для триобмоткового трансформатора,який живиться зі сторони високої напруги. У формулах розрахункувикористовуються деякі умовні позначення, які прийняті за кордоном.

/>
Рис. 4.1.Схема під’єднання цифрового пристрою R3IPTЦифровий диференційний захисттрансформатора
 
4.2 Диференціцйнийзахист трансформатора
 
Для розрахункудиференційного захисту розраховують його характеристику гальмування, наведенуна рис. 4.2. Ця характеристика є подібною до характеристики гальмування релесерії ДЗТ-20.

/>
Рис. 4.2. Характеристикагальмування реле R3IPT
Характеристикубудують в осях />, де />– диференційний струм; />.– струм гальмування, що дорівнює найбільшому струмові з усіх вторинних струмівтрансформаторів струму.
Характеристикаскладається з 4-х ділянок.
1-а ділянка АВ.Її ще називають першим ступенем захисту. Згідно рекомендацій фірми ALSTOM, струм спрацювання першоїступені доцільно приймати
 
/>;                                                          (4.1)
2-а ділянка ВС.Для цієї ділянки необхідно задати нахил характеристики, тобто коефіцієнтгальмування />. Його розраховують увідсотках за виразом
/>                                                  (4.2)
де /> – коефіцієнтвідлагодження; /> – похибка трансформатора струму,яка для малих струмів дорівнює 5%; />– діапазон регулювання коефіцієнтатрансформації трансформатора в %.
Передбаченаможливість змінювати коефіцієнт гальмування Р1 у межах 20 ¸50 %.
3-а ділянка СD. Для цієї ділянки, як і для ділянки ВС,необхідно розрахувати коефіцієнт нахилу
/>                                           (4.3)
де /> – коефіцієнтвідлагодження; /> – коефіцієнт, якийвраховує похибку від аперіодичної складової, />; /> – похибка трансформаторівструму, для великих струмів ця похибка складає />; /> – діапазон регулювання коефіцієнтатрансформації в %.
Величина /> може змінюватись в межах 40% ¸ 100%.
Ділянка DE відповідає струмовідиференційної відсічки />. Струм спрацюваннядиференційної відсічки вибирають із наступних умов:
· з умови неспрацювання підчас зовнішнього к.з. (відлагодження від струму небалансу, який виникає під часзовнішнього к.з.)
/>          (4.4)
де /> – коефіцієнтвідлагодження; /> – коефіцієнт, щовраховує похибку від аперіодичної складової; /> – похибка трансформаторівструму для великих струмів; /> – діапазон регулювання коефіцієнтатрансформації в %; /> – струм, який протікає черезсторону високої напруги трансформатора під час к.з. на стороні низької абосередньої напруги в режимі найбільших струмів к.з. (вибирають більше значення);
· з умови відлагодження відкидка струму намагнічення під час увімкнення ненавантаженого трансформатора наномінальну напругу
/>                                                          (4.5)
де /> – номінальний струмсторони високої напруги трансформатора.
З двох струмів,отриманих за (4.4), (4.5) вибирають більше значення.
Розрахованийструм спрацювання струмової відсічки необхідно перерахувати у відносні одиниці.Для цього /> необхідноподілити на номінальний первинний струм трансформатора струму, встановленого настороні живлення силового трансформатора
/>                                                (4.6)
де /> – номінальний первиннийструм трансформатора струму, встановленого на стороні високої напруги силовоготрансформатора.
Передбаченаможливість регулювати /> в межах 1 ¸ 30.
Крім розрахункухарактеристики гальмування диференційного захисту, необхідно вибрати уставкублокування роботи захисту струмом другої гармоніки. Це необхідно для блокуванняроботи захисту під час увімкнення ненавантаженого трансформатора на номінальнунапругу. Саме в цьому режимі в кривій фазного струму рівень другої гармоніки єнайвищим. Ця уставка може задаватись в межах 10 ¸ 50%. Оскільки відсутняметодика розрахунку цієї уставки, рекомендують виставляти заводську уставку12%.
У пристрої такожпередбачена можливість блокування роботи захисту від струму 5-ї гармоніки.Оскільки на трансформаторах енергосистем України це блокування не потрібне,розрахунок його не проводять і в захисті цю функцію не використовують.
Перевіркачутливості захисту.
Як правило,диференційний захист забезпечує необхідну чутливість і перевіряти її немапотреби. Проте, за необхідності, чутливість диференційного захисту перевіряютьнаступним чином.
Для першогоступеня коефіцієнт чутливості визначають за виразом
/>                                                     (4.7)
де /> – струм к.з., якийпротікає через обмотку живлення трансформатора під час двофазного к.з. настороні середньої або низької напруги (вибирають менше значення) в режимі мінімальнихструмів к.з.; /> – уставка спрацювання першоїступені диференційного захисту, визначена за (4.1); /> – коефіцієнт трансформаціїтрансформатора струму, встановленого на стороні живлення трансформатора.Коефіцієнт чутливості як для основного захисту повинен задовільняти умову />.
Для диференційної відсічки чутливістьперевіряють за виразом
/>                                                     (4.8)
де /> – струм двофазного к.з.на стороні високої напруги трансформатора в режимі мінімальних струмів к.з.; /> – струм спрацювання диференційноївідсічки, обчислений за (4.5); /> – коефіцієнт трансформації трансформатора струму,встановленого на стороні високої напруги силового трансформатора.
4.3Максимальний струмовий захист віднадструмів зовнішніх к.з.
У пристрої передбаченаможливість встановити три ступені максимального струмового захисту на всіхтрьох сторонах трансформатора. Перша ступінь може мати як залежну, так інезалежну характеристику витримки часу. Для трансформаторів, які експлуатують венергосистемах України, достатньо використовувати лише першу ступінь ізнезалежною витримкою часу.
Вибір струму спрацюванняздійснюють подібно як для максимальних струмових захистів трансформаторів,розглянутих у розділі “Захист трансформаторів та автотрансформаторів віднадструмів зовнішніх к.з.”,тобто струм спрацювання захисту вибирають з наступних умов:
· відлагодження від максимального струмунавантаження після вимикання зовнішнього к.з., з врахуванням самозапускудвигунів;
· узгодження із захистамисуміжних елементів.
Під часрозрахунку уставок спрацювання необхідно враховувати, що коефіцієнти поверненняцифрових захистів є суттєво вищими, ніж для захистів, виконаних ізвикористанням електромеханічних реле. Для захисту R3IPT />.
Узгодженнямаксимального струмового захисту із захистами суміжних елементів за часомздійснюють за ступеневим принципом. Час спрацювання МСЗ трансформаторавибирають на ступінь селективності більшим від часу спрацювання МСЗ попередніхсуміжних елементів. Ступінь селективності /> для цифрових захистів є меншим,ніж для захистів, виконаних із використанням електромеханічних реле. Ступіньселективності визначається за виразом
/>                             (4.9)
де />. –час вимикання вимикача, для сучасних вимикачів, наприклад, елегазових,вакуумних цей час можна приймати 0,05 с; /> – похибки за часом даного захистута захисту попереднього елемента, з яким проводиться узгодження. Дляпроцесорних захистів часова похибка реле складає 5%. Якщо уставка зачасом складає до 2с., то абсолютна похибка є 0,1 с, якщо уставказа часом не перевищує 1с., то абсолютна похибка є 0,05 с.; /> – час запасу,приймають 0,1 с.
Таким чином,ступінь селективності за часом для цифрових захистів можна приймати:
· /> с для уставок захистів зачасом до 2 с;
· /> с для уставок захистів зачасом 2 с та більше.
У пристроях, якнаприклад R31RT, уставки задають увідносних одиницях. Тому уставки спрацювання, розраховані за первинним струмомдля кожної сторони силового трансформатора, необхідно звести до відноснихвеличин. Для цього значення, розраховані для первинного струму трансформатора,необхідно розділити на первинний струм трансформатора струму тієї сторони, децей захист встановлюють. Діапазон уставок у цифровому пристрої R3IPT складає 0,1 ¸5,0 відносних одиниць.
За часом кожна ступіньмає дві витримки часу: для дії на сигнал та для дії на вимикання. Діапазонуставок на вимикання складає 0,02 ¸600 с, діапазон уставок на сигнал – 0,0 ¸9,99 с.
Чутливість цифровогомаксимального струмового захисту від надструмів зовнішнього к.з. визначаютьзгідно (4.7), (4.8).
В разі, коли МСЗ віднадструмів зовнішніх к.з. не задовільняє вимоги чутливості, застосовують МСЗ ізблокуванням за напругою. У пристрої R3IPT не передбачені реле напруги. Томупотрібно додатково встановлювати реле напруги, бажано теж цифрове і на один іздискретних входів пристрою R3IPT, наприклад, “вхід 1” на рис. 4.1, подати дискретний сигнал “спрацювання” від зовнішнього цифрового реле напруги. Оскільки всівходи та виходи цифрового пристрою програмують, не викликає труднощівреалізувати алгоритмічно максимальний струмовий захист трансформатора віднадструмів зовнішніх к.з. з блокуванням за напругою.
В деяких випадкахживлення триобмоткового трансформатора зі сторони високої напруги можездійснюватись від одного з двох джерел живлення: від потужного джерела або меншпотужного. Під час живлення від менш потужного джерела чутливість МСЗ може бутинедостатньою. Тому в пристрої задають дві групи уставок: з однією захищаєтьсятрансформатор для випадку його живлення від потужного джерела, із другою – вразі живлення трансформатора від меншпотужного джерела. Ці дві групи уставок обчислюють з врахуванням особливостейрежимів, вводять у пристрій і перемикають після зміни режиму живленнятрансформатора через дискретний вхід пристрою. Таке перемикання можназдійснювати автоматично.4.4 Захист трансформатора від перевантажень
У пристрої R3IPT передбачена можливість організовувати захист відперевантажень із залежною від кратності перевантаження витримкою часу. Але вУкраїні захисти з такими характеристиками поки що не використовують. Длязахисту від перевантажень застосовують одну ступінь із трьох, які передбаченіна кожній стороні трансформатора.
Струм спрацювання захистувід перевантажень розраховують як для захистів, виконаних не на цифровомупринципі. Тільки значення коефіцієнта повернення необхідно приймати />. Після цього цей струм перераховуютьу відносне значення шляхом ділення первинного струму спрацювання, розрахованогодля відповідної сторони силового трансформатора, на номінальний первинний струмтрансформатора струму цієї сторони.
Захист відперевантажень рекомендують встановлювати зі всіх сторін трансформаторанезалежно від особливостей режимів роботи та живлення трансформатора, оскількице не вимагає встановлення додаткової апаратури.

4.5 Функціярезервування відмови вимикача
Після спрацюваннязахистів R3IPT формуються сигнали на вимиканнявимикача середньої напруги (робота МСЗ середньої напруги трансформатора),вимикача низької напруги (робота відповідного МСЗ), вимикання всіх вимикачів –наприклад, робота диференційного захисту трансформатора. Деколи можлива відмовавимикача, на вимикання якого діє пристрій. Якщо відмовляють вимикачі сторонисередньої чи низької напруги, то пристрій R3IPT із витримкою часу формує сигнал навимикання вимикача зі сторони високої напруги трансформатора.
Витримку часупристрою резервування відмови вимикача (ПРВВ) визначають за виразом
/>                                               (4.10)
де /> – час вимиканнявимикача, для сучасних вимикачів, наприклад, елегазових, цей час можна приймати0,05с; />. – часповернення пристрою у вихідний стан; /> – запас, обумовлений можливимзбільшенням часу вимкнення вимикача. Враховуючи числові значення величин, які входять у вираз (4.10),час роботи ПРВВ знаходиться у межах 0,15 ¸ 0,2с.


Не сдавайте скачаную работу преподавателю!
Данный реферат Вы можете использовать для подготовки курсовых проектов.

Поделись с друзьями, за репост + 100 мильонов к студенческой карме :

Пишем реферат самостоятельно:
! Как писать рефераты
Практические рекомендации по написанию студенческих рефератов.
! План реферата Краткий список разделов, отражающий структура и порядок работы над будующим рефератом.
! Введение реферата Вводная часть работы, в которой отражается цель и обозначается список задач.
! Заключение реферата В заключении подводятся итоги, описывается была ли достигнута поставленная цель, каковы результаты.
! Оформление рефератов Методические рекомендации по грамотному оформлению работы по ГОСТ.

Читайте также:
Виды рефератов Какими бывают рефераты по своему назначению и структуре.

Сейчас смотрят :

Реферат Отражение развития физической культуры в искусстве древних от 15000 лет до н.э. до 400 г. н.э.
Реферат Product Liability Essay Research Paper Product LiabilityEvery
Реферат Оценка стоимости предприятия бизнеса
Реферат Сенсорное воспитание в истории педагогики
Реферат Исследование ассортимента и качества пива Балтика
Реферат А. И. Захаров 2000 год
Реферат Взаємозв язок організованої злочинності відмивання доходів незаконного походження та тіньово
Реферат Облік реалізації продукції робіт послуг та розрахунків з покупцями та замовниками
Реферат Высокоскоростные информационные технологии DSL
Реферат Петрография как наука
Реферат Биография и творчество А.Ахматовой
Реферат Белоруская мова
Реферат Політичні права і свободи громадян України
Реферат Смоленская поэтическая школа с позиций А. В. Македонова
Реферат Аналіз фінансового стану ЗАТ "Перлина"