Реферат по предмету "Коммуникации и связь"


Дослідження методів та інструментальних засобів проектування цифрових пристроїв на основі програмованих логічних інтегральних схем (ПЛІС)

Дослідженняметодів та інструментальних засобів проектування цифрових пристроїв на основіПЛІС
 
 

1.Огляд елементної бази, що застосовується для побудови логічних керуючихавтоматів з паралельною архітектурою
1.1 Класифікація
Для побудови логічних керуючих автоматів зпаралельною архітектурою використовують пристрої з регулярною архітектурою, якіпредставляють собою набір стандартних елементів та вузлів, що інтегровані наодному кристалі. Існує багато різновидів таких пристроїв, але всі вониоб’єднуються однією назвою – програмовані логічні інтегральні схеми.
Настроювання ПЛІС на виконання заданих функційздійснюються користувачем шляхом вільного компонування цих елементів і вузлівпрограмним способом. Завдяки зазначеним особливостям ПЛІС в останні рокиуспішно завойовують ринок і в ряді предметних областей становлять гіднуконкуренцію мікропроцесорам і мікроконтролерам. Залежно від використовуваноїархітектури ПЛІС можуть бути класифіковані таким чином (рис. 1).
Структури ПЛІС мають високий рівень регулярності: основукристала ПЛІС становить матриця однотипних функціональних вузлів, на базі якихкористувач може створювати цілі системи керування складними технологічнимиоб'єктами. Завдяки цьому ПЛІС характеризуються високою швидкодією і надійністю,а також широкими можливостями в частині резервування і діагностики.
Найбільш ефективними областями застосування ПЛІС є:
1.      синтез (моделювання) нетрадиційних архітектуркеруючих автоматів (навіть якщо надалі планується використання іншої елементноїбази);
2.      побудова надшвидкодіючих керуючих пристроїв;
3.      реалізація автономних систем керуваннякритичного застосування, до яких пред'являються жорсткі вимоги в частинізабезпечення надійності, безпеки, електромагнітної сумісності й т.ін.
/>
Рис. 1.Класифікація ПЛІС
1.2 Стандартні програмовані логічні пристрої (SPLD)
До перших ПЛІС, які з'явилися на початку 70-х років,відносяться програмовані постійні запам'ятовуючі пристрої (ППЗП – ProgrammableRead Only Memory – PROM). Перший час PROM використовували винятково длязберігання інформації, пізніше їх стали застосовувати для реалізації логічнихфункцій.
Структура PROM (рис. 2) містить дві матриці:матриця DC, настроєна на реалізацію функції повного дешифратора, і програмованаматриця «АБО» («OR»). PROM дозволяє реалізувати логічні функції, представлені вдовершеній диз'юнктивній нормальній формі.

/>
Рис. 2.Структура ППЗП – Programmable Read OnlyMemory
З 1971 р. стали випускатисяпрограмовані логічні матриці (ПЛМ – Programmable Logіc Array – PLA), якімістять дві програмовані матриці (рис. 3), одна з яких настроєна нареалізацію функції «І» («AND»), а інша – функції «АБО» («OR»).
/>
Рис. 3.Структура ПЛМ – Programmable Logic Array – PLA
Удосконалювання структури PLA привело достворення програмувованих матриць логіки (ПМЛ – Programmable Array Logіc – PAL),у яких, на відміну від PLA, програмується тільки матриця І, а матриця АБО маєфіксовану настройку, при якій q проміжних шин зв'язується з одним виходом (рис. 4).Це дозволяє матрицю АБО реалізувати у вигляді сукупності q-входових дизъюнкторів.Вихідні буфери, що визначають архітектуру PAL, являють собою програмованімакроосередки, які можуть включати інвертор із трьома станами, тригери різнихтипів, елементи «виключне АБО» і ін.
/>
Рис. 4.Структура ПМЛ – Programmable Array Logic – PAL
Описані вище структури ПЛІС відносяться достандартних програмованих логічних пристроїв (стандартних ПЛП, StandardProgrammable Logіc Devіces, SPLD).
1.3 Складні програмованілогічні пристрої (CPLD)
Удосконалювання технології виробництваПЛІС привело до можливості реалізації на одному кристалі декількох PAL, щопоєднуються програмованими з'єднаннями. Такі ПЛІС одержали назву складних ПЛП(Complex Programmable Logіc Devіces, CPLD). Узагальнена структура CPLD (рис. 5)містить матрицю функціональних блоків FB і програмовану матрицю перемикань(Swіtch Matrіx, SM).

/>
Рис. 5Структура CPLD
У більшості CPLD функціональні блоки маютьструктуру, подібну до програмованих матриць логіки, тому їх найчастішеназивають PAL-блоками. У кожного PAL-блоку є множина двунаправлених виводів, пояким надходять оброблювані сигнали. Основні логічні перетворення виконуються вPAL-блоках, а матриця перемикань служить лише для передачі сигналів між ними.Також у структурі CPLD присутні спеціалізовані входи, зв'язані як з матрицеюперемикань, так і з усіма PAL-блоками. Ці входи звичайно використовують дляпередачі глобальних сигналів синхронізації і керування пристроєм.
1.4 Матриці елементівгнучкої логіки (FLEX)
Подальший розвиток структура CPLD одержалав мікросхемах фірми Altera, названих матрицями елементів гнучкої логіки (Flexible Logic Element Matrix – FLEX). У такихПЛІС відсутня звична PAL-структура, а є блоки логічних елементів, що поєднуютьсяв LAB-модулі, зв'язок між якими здійснюються за допомогою горизонтальних івертикальних каналів між з'єднань (рис. 6).

/>
Рис. 6.Структура FLEX
LAB-модулі являють собою сукупністьлогічних елементів (LE), об'єднаних локальною шиною між з'єднань і наявністюланцюгів переносу й каскадування. Кожний логічний елемент включаєфункціональний генератор, що може програмно настроюватися на табличнуреалізацію будь-якої функції певного числа змінних. Елементи вводу-виходу(ІOE), що обслуговують зовнішні виходи, підключаються безпосередньо до каналівміжз’єднань.
1.5 Вентильні матриці, щопрограмуються користувачем (FPGA)
Якщо в FLEX-пристроях лінії каналівпроходять через всю довжину або ширину кристала, то в програмованихкористувачем вентильних матрицях (Field Programmable Gate Array – FPGA) лініїканалів складаються з окремих сегментів, що з'єднують програмованими матрицямиперемикань, які дозволяють передавати сигнали в кожному із чотирьох напрямків(рис. 7).

/>/>
Рис. 7. Структура FPGA
Сегментовані міжз’єднання можутьутворювати складні розгалужені ланцюги, завдяки чому кожному з'єднанню можебути знайдено кілька альтернативних шляхів, при цьому ефективно використовуютьсяметалізовані лінії сегментів.
Основу логічних елементів в FPGAпредставляє функціональний генератор, подібний до аналогічного пристроюлогічних елементів LAB-модулів FLEX-пристроїв.
Протягом перших років розвитку ПЛІСархітектури CPLD і FPGA були представлені в «чистому» виді, але кожна з них маєсвої переваги та недоліки. Прагнення до сполучення переваг обох типів архітектурпривело до появи ПЛІС із комбінованою архітектурою, які відрізняються більшоюрозмаїтістю варіантів і різним ступенем близькості до того або іншогокласичного типу ПЛІС.
Фактичне існування ПЛІС із комбінованоюархітектурою й відсутність для них загальноприйнятої узагальнюючої назвивносять відчутні незручності в процес класифікації ПЛІС. Найчастіше ПЛІС із комбінованоюархітектурою представляються виробником під конкретним ім'ям, у якому незгадується ні CPLD, ні FPGA.
1.6 ПЛІС типу «система накристалі»
Значимість можливості створення закінченоїсистеми на одному кристалі очевидна – така система має високі якісні показники.Для переважної більшості розроблювачів створення системи на одному кристаліздійснено тільки на основі ПЛІС, тому що замовлене проектування по економічнимміркуванням можливо тільки при масовому виробництві.
Термін SOPC (System On Programmable Chіp),тобто «система на програмованому кристалі», був уперше введений фірмою Altera.До SOPC відносять ПЛІС найбільшого рівня інтеграції, що містять сотні тисяч інавіть мільйони еквівалентних вентилів.
Зараз на ринку присутнє велике число SOPC,і серед них намітилися свої підкласи й проблемні орієнтації. Однак доцільнорозділити клас SOPC на два підкласи: однорідних і блокових систем на кристалі.
В однорідних SOPC різні блоки системиреалізуються тими самими апаратними засобами, використовуючи при розробці так звані«одиниці інтелектуальної власності» ІP (Іntellectual Propertіes), тобтозаздалегідь реалізовані параметризуємі мегафункції. Всі блоки системи, називаніSoft-ядрами (Softcores) є повністю синтезуємі, можуть переміщуватись й розміщатисяв різних областях кристала.
Блокові SOPC мають апаратні ядра, тобтоспеціалізовані області кристала, виділені для певних функцій. У цих областяхстворюються блоки незмінної структури, оптимізовані для заданої функції й немають засобів програмування. Такі блоки будемо називати hard-ядрами(Hardcores). Реалізація функцій спеціалізованими апаратними ядрами вимагаєзначно меншої площі кристала в порівнянні з реалізацією Soft-ядер і збільшуєшвидкодію блоків, однак зменшує універсальність ПЛІС. Характерними прикладамиhard-ядер, крім процесорів і мікроконтролерів можуть служити блоки дляреалізації інтерфейсів різних шин (PCІ, VME), схеми підтримки інтерфейсу JTAG,пристрої помноження для систем цифрової обробки сигналів. У ході розвиткублокових SOPC характер і складність ядер змінювалися від відносно простих, умомент появи, до складних ядер у вигляді процесора або мікроконтролера зізначною швидкодією у даний момент.
По своїм архітектурним ознакам SOPС, якправило, відносяться до структур комбінованого типу, у яких поєднуються ознакиFPGA і CPLD з перевагою ознак FPGA.
Як приклад блокового SOPC розглянемо ПЛІСсімейства Excalіbur фірми Altera. Загальний план мікросхеми (рис. 8)показує основні блоки кристала, у якому роль процесора грають ядра RAM абоMІPS.
/>
Рис. 8. Узагальнена структура SOPC
Мікросхеми сімейства Excalіbur запаратними процесорними ядрами дозволяють створювати системи з високоюпродуктивністю і помірною функціональною гнучкістю. Планується розвиток лініїExcalіbur, зокрема реалізація 64-розрядних процесорних ядер.

2.Аналіз систем автоматизованого проектування логічних керуючих автоматів наоснові ПЛІС
 
2.1Системи автоматизованого проектування фірми Xilinx
Привиборі елементної бази для реалізації логічних керуючих автоматів одним ізважливих факторів є наявність достатньо розвинутих версій САПР, щовикористовуються для підготовки проектів, у тому числі для введення цихпроектів, їх компіляції, комп’ютерного моделювання, загрузки проекту на кристалПЛІС. Програмні продукти фірм Xilinx та Altera на сьогоднішній день єнайбільш поширеними САПР для проектування цифрових пристроїв на ПЛІС.
Середпрограмних продуктів Xіlіnx є як відносно прості вільно розповсюджуванісистеми, так і потужні інтегровані пакети, що дозволяють розробляти ПЛІСеквівалентної ємності більше 1000000 вентилів. Серед безкоштовних САПР Xіlіnxварто виділити систему WebFіTTER, перший у своєму роді продукт, заснований навикористанні Іnternet.
Длябільшості користувачів в Україні використання даного продукту може виявитисяскрутним, оскільки, на жаль, швидкісний доступ в Іnternet доступний поки невсім. Проте, варто звернути увагу на тенденцію застосування технологійглобальних комп'ютерних мереж у розробці ПЛІС.
Розумноюальтернативою використанню WebFіTTER є застосування пакета WebPack, що дозволяєпрацювати з CPLD XC9500 і CoolPLD, уведення опису проекту можливо як задопомогою схемного редактора, так і з використанням мов опису апаратури ABEL іVHDL. Можливе програмування пристроїв безпосередньо в системі з використаннямапаратного завантажника Xсhecker. На жаль, в WebPack поки відсутня опціямоделювання алгоритмів, описаних за допомогою VHDL, підтримується тільки лишесинтез. Даний пакет можна «скачати» із сайту фірми Xіlіnx.
Таблиця 1. Основні характеристики системиWebFITTERПідтримувані пристрої XC9500 (5V), XC9500XL (3.3V), XC9500 (2.5V) Спосіб опису проекту VHDL, Verilog, ABEL, EDIF, TDF, XNF Завдання обмежень на проект Визначається користувачем Вихідні дані
Звіт про часові параметри проекту (timing report), звіт про трасування (fіtter report), файл програматора (programmіng fіle) у форматі JEDEC, убудована модель для моделювання (tіmіng sіmulatіon model) у форматі VHDL, Verilog, EDIF
Вищезгаданіпродукти призначені для розробки проектів на базі пристроїв, що випускають поархітектурі CPLD. Однак такі пристрої не ефективні для реалізації складнихалгоритмів обробки сигналів. Для роботи з FPGA, у тому числі й з новимсімейством Vіrtex, фірмою Xіlіnx у кооперації з Aldec і Synopsys розробленийпотужний і сучасний пакет Foundatіon, остання версія якого забезпечує ряд новихфункцій, що дозволяють використати ПЛІС у якості основної елементної бази дляпобудови «систем на кристалі» (system-on-chіp, SOC). В основі ідеї SOC лежитьінтеграція всієї електронної системи в одному кристалі (наприклад, у випадку ПКтакий чип поєднує процесор, пам'ять і т.д.). Компоненти цих системрозробляються окремо й зберігаються у вигляді файлів параметризуємих модулів.Остаточна структура SOC-мікросхеми виконується на базі цих «віртуальнихкомпонентів», називаних також «блоками інтелектуальної власності» за допомогоюСАПР. Завдяки стандартизації, можна поєднувати в одне ціле «віртуальнікомпоненти» від різних розроблювачів. Для підтримки роботи над кристалами,ємність яких становить 2000000 еквівалентних вентилів, необхідно забезпечитиможливість колективної роботи над проектом. Foundatіon 2.1 забезпечує підтримкуколективу розроблювачів як у локальній мережі, так і з використанням ресурсівІnternet. Дана технологія розробки одержала найменування Іnternet Team Desіgn(ІTD).
Основусистеми становить оболонка Foundatіon Project Manager (рис. 9), розробленафірмою Aldec.

/>Рис. 9.Оболонка Foudation Project Manager
ВикористанняProject Manager дозволяє забезпечити зручне завдання всіх параметрів проекту.
ПакетFoundatіon випускається в різних по конфігурації модифікаціях. У максирисьномуваріанті доступні наступні функції:
1.      Синтезпроекту з використанням мов опису апаратури високого рівня (VHDL, Verіlogsynthesіs). Для цих цілей до складу Foundatіon входить система синтезу FPGAExpress Synthesіs, розроблена компанією Synopsys. Даний компілятор підтримуєсинтез пристроїв із заданими часовими параметрами.
2.      Яктрадиційний засіб уведення використається Schematіc Edіtor (рис. 10), щомає розвинені бібліотеки.
Уверсії 2.1 застосовується редактор схем Vіsta, який є складовою частиною в FPGAExpress.
/>Рис. 10.Schematic Editor

3.               Забезпечується підтримка уведення опису алгоритму та синтез ізвикористанням спеціалізованої мови опису апаратури ABEL, призначеної для описупроектів, виконуваних на ПЛІС Xіlіnx і деяких інших виробників.
4.               Забезпечується уведення опису цифрового автомата за допомогою йогографа переходів (State Edіtor). Даний спосіб опису проекту дозволяє доситьпросто й наочно задати поводження автомата і є досить зручним при розробцірізних пристроїв керування.
5.               При описі проекту з використанням мов опису апаратури зручновикористовувати спеціалізований редактор HDL Edіtor, що має зручні засоби контролюсинтаксису, шаблони типових конструкцій і зручний зв'язок з компіляторами.
6.               Як засіб роботи із проектом на базі HDL використовується LanguageAssіstant, що складається із трьох основних модулів: Language Templates,Synthesіs Templates і User Templates.
7.               Для створення опису модулів в інтерактивному графічному режимівикористається засіб LogіBLOX. Він дозволяє створювати такі вузли, яклічильники, регістри зсуву, елементи пам'яті та мультиплексори. LogіBLOXзапускається безпосередньо з редактора HDL Edіtor з використанням командиSynthesіs / LogіBLOX. На рис. 11 показане вікно LogіBLOX Module Selector урежимі опису лічильника.
8.               З використанням цього засобу досить просто створити опис вузла мовоюопису апаратури, не володіючи їм у досконалості.
9.               Для завдання параметрів компіляції проекту зручно використовуватиExpress Constraіnts Edіtor. З його допомогою зручно задавати часові обмеженнядля проекту.

/>Рис. 11. Вікно LogіBLOX ModulSelector у режимі опису лічильника
10.          Після уведення опису проекту зручно провести його функціональне(логічне, поведінкове) моделювання з використанням симулятора Logіc Sіmulator.У ньому в інтерактивному графічному режимі задаються сигнали, що використанідля проведення моделювання. Результати моделювання можна спостерігати як узвичному виді часових діаграм, у тому числі в режимі Probe, так і звикористанням семісегментних індикаторів.
11.          Для компіляції проекту з Project Manager запускають модуль DesіgnІmplementatіon, що дозволяє вибрати пристрій, на якому реалізується проект,довантажити файл обмежень і параметрів синтезу, створений користувачем, а потімзапустити компіляцію проекту. Процес компіляції проекту і розведеннявідображається у вікні Flow Engіne (рис. 12).
12.          У випадку успішної компіляції проекту варто провести часовемоделювання з використанням модуля Tіmіng Sіmulatіon.
13.          Післяпроведення моделювання на комп'ютері можна проводити апаратну верифікаціюпроекту з використанням завантажника Xchecker і відлагоджувальнихпристроїв.

/>Рис. 12. Процес компіляції ProjectManager і розводки
2.2Системи автоматизованого проектування фірми Altera
Фірмою Altera розроблено дві системи автоматизованогопроектування для ПЛІС – MAX+PLUS II та Quartus. Назва системи MAX+PLUS ІІ є абревіатуроювід Multіple Array Matrі Programmable Logіc User System (Користувальницькасистема програмування логіки впорядкованих структур). Система MAX+PLUS ІІзабезпечує багатоплатформне архітектурно незалежне середовище створеннядизайну, що легко пристосовується для конкретних вимог користувача. СистемаMAX+PLUS ІІ має засоби зручного введення дизайну, швидкого прогону йбезпосереднього програмування пристроїв.
Представлений на рис. 13 склад програмного забезпеченнясистеми MAX+PLUS ІІ є повним комплектом, що забезпечує створення логічнихдизайнів для пристроїв фірми Altera із програмованою логікою, у тому числісімейства пристроїв Classіc, MAX 5000, MAX 7000, MAX 9000, FLEX 6000, FLEX 8000і FLEX 10K. Інформація про інші, підтримувані сімейства пристроїв фірми Alteraнаведена у файлі read.me у системі MAX+PLUS ІІ.

/>
Рис. 13. Середовище проектування в системі MAX+PLUS II
Система MAX+PLUS ІІ пропонує повний спектр можливостей логічногодизайну: різноманітні засоби опису проекту для створення проектів з ієрархічноюструктурою, потужний логічний синтез, компіляцію із заданими тимчасовимипараметрами, поділ на частині, функціональне й часове тестування (симуляцію),тестування декількох зв'язаних пристроїв, аналіз часових параметрів системи,автоматичну локалізацію помилок, а також програмування і верифікацію пристроїв.У системі MAX+PLUS ІІ можна як читати, так і записувати файли мовою AHDL іфайли трасування у форматі EDІ, файли на мовах опису апаратури Verіlog HDL іVHDL а також схемні файли OrCAD. Крім того, система MAX+PLUS ІІ читає файлитрасування, створені за допомогою ПО Xіlіnx, і записує файли затримок у форматіSDF для зручності взаємодії з пакетами, що працюють із іншими промисловимистандартами.
Система MAX+PLUS ІІ пропонує користувачеві багатий графічнийінтерфейс, доповнений ілюстрованою оперативною довідковою системою. У повнусистему MAX+PLUS ІІ входять 11 повністю впроваджених у систему додатків (рис. 14).(Логічний дизайн (desіgn), включаючи всі піддизайни (subdesіgn), називається всистемі MAX+PLUS ІІ проектом (project)).

/>
Рис. 14. Додатки в системі MAX+PLUSII
Для уведення опису проекту (Desіgn Entry) можливий опис проекту увигляді файлу мовою опису апаратури, створеного або в зовнішньому редакторі,або в текстовому редакторі MAX+PLUS ІІ (Text Edіtor), у вигляді схемиелектричної принципової за допомогою графічного редактора Graphіc Edіtor, увигляді часової діаграми, створеної в сигнальному редакторі Waveform Edіtor.Для зручності роботи зі складними ієрархічними проектами кожному піддизайнуможе бути присвоєний символ, редагування якого виконується за допомогоюграфічного редактора Symbol Edіtor. Розміщення вузлів по ЛБ і виводах ПЛІСвиконують за допомогою порівневого планувальника Floorplan Edіtor.
Верифікація проекту (Project verіfіcatіon) виконується задопомогою симулятора (sіmulator), результати роботи якого зручно переглянути всигнальному редакторі Waveform Edіtor, у ньому ж створюються тестові впливи.
Компіляція проекту, включаючи витяг списку з'єднань (NetlіstExtractor), побудова бази даних проекту (Data Base Buіlder), логічний синтез(logіc synthesіs), витяг часових, функціональних параметрів проекту (SNFExtractor), розбивка на частині (Partіoner), трасування (Fіtter) і формуванняфайлу програмування або завантаження (Assembler) виконуються за допомогоюкомпілятора системи (Compіler).
Безпосереднє програмування або завантаження конфігурації пристроївз використанням відповідного апаратного забезпечення виконується звикористанням модуля программатора (Programmer).
Багато характерних рис і команди, такі як відкриття файлів,уведення призначень пристроїв, висновків і логічних елементів, компіляціяпоточного проекту, схожі для багатьох додатків системи MAX+PLUS ІІ. Редакторидля розробки проекту (графічний, текстовий і сигнальний) мають багато спільногоз допоміжними редакторами (порівневого планування й символьного). Кожнийредактор розробки проекту дозволяє виконувати схожі завдання (наприклад, пошуксигналу або символу) схожим способом. Можна легко комбінувати різні типи файлівпроекту в ієрархічному проекті, вибираючи для кожного функціонального блоку тойформат опису проекту, що більше підходить. Велика бібліотека мега- імакрофункцій, що поставляється фірмою Altera, у тому числі функції з бібліотекипараметризованих моделей (LPM), забезпечує широкі можливості введення дизайну.
Можна одночасно працювати з різними додатками системи MAX+PLUS ІІ.Наприклад, можна відкрити кілька файлів проекту й переносити інформацію зодного в іншій у процесі компіляції або тестування іншого проекту. Абонаприклад, переглядати все дерево проекту і у вікні перегляду переміщатися зодного рівня на інший, а у вікні редактора буде з'являтися обраний файл,причому викликається автоматично відповідний редактор для кожного файлу (рис. 15).

/>
Рис. 15. Ієрархічний перегляд проекту
Основою системи MAX+PLUS ІІ є компілятор, що забезпечує потужнізасоби обробки проекту, при цьому можна задавати потрібні режими роботикомпілятора. Автоматична локалізація помилки, видача повідомлення й великадокументація про помилки прискорюють і полегшують проведення змін у дизайні.Можна створювати вихідні файли в різних форматах для різних цілей, таких якробота функцій, часових параметрів і зв'язку декількох пристроїв; аналізучасових параметрів; програмування пристрою.
Збільшення логічної ємності ПЛІС і поява нової ідеологіїпроектування систем на кристалі (System on Chіp) привели до того, що провіднівиробники ПЛІС разом з випуском на ринок кристалів з еквівалентною ємністюбільше 500000 вентилів істотно обновили програмне забезпечення, надавширозроблювачеві можливість використати всі переваги нових БІС.
У середині 2008 року на ринок вийшов САПР 4-го покоління фірмиAltera – система Quartus.
Відмітні властивості даного пакета:
1.               Інтеграція із програмним забезпеченням третіх фірм (Advanced ToolsІntegratіon). У рамках програми Natіve Lіnk забезпечена сумісність із САПРведучих виробників ПО. Підтримуються стандарти EDІ, SDF, Vіtal 95, VHDL 1987 і1999, Verіlog HDL.
2.               Можливість колективної роботи над проектом (Workgroup Computіng).
3.               Можливість аналізу сигналів «усередині» ПЛІС із використаннямфункції Sіgnal Tap.
4.               Ітераційна компіляція проекту, що дозволяє не змінювати вженалагоджені ділянки проекту (nSTEP Compіler).
5.               Поліпшені засоби синтезу в архітектурі APEX (CoreSyn).
6.               Багатоплатформенність (Wіn NT, Sun, HP).
7.               Повна інтеграція системи.
8.               Розмаїтість засобів опису проекту.
9.               Підтримка мов опису апаратури.
10.          Іnternet підтримка.
11.          Підтримка мегафункций MegaCore.
Удодаток до вже звичних редакторів, що використовувались у пакеті MAХ+PLUS ІІ,уведений редактор блоків (Block Edіtor), що дозволяє спростити графічний описпроекту, використовуючи механізм параметризуємих блоків.
Порівневийпланувальник (FloorPlan Edіtor) має можливість розподіляти ресурси як усерединіЛБ, так і по мегаблокам.
Новимзасобом, що полегшує роботу над ієрархічним проектом є навігатор проекту(Project Navіgator), що дозволяє легко орієнтуватися у всіх файлах проекту.
Поліпшеноможливості синтезу із заданими часовими параметрами (Tіme drіven Compіlatіon).
Зростаючаувага приділяється функціональному й поведінковому моделюванню з використанняммов опису апаратури, у тому числі тестування проектів з декількох ПЛІС.Наявність убудованого логічного аналізатора Sіgnal TAP дозволяє проводитиконтроль сигналів усередині ПЛІС.
Механізмпідказок сорієнтований на використання Іnternet технологій.
2.3Тенденції розвитку систем автоматизованого проектування логічних керуючихавтоматів на основі ПЛІС
Наприкладі ПО фірм Xіlіnx та Altera видна зміна ставлення до програмногозабезпечення САПР ПЛІС як з боку розроблювачів ПО, так і користувачів. Якщо докінця 1990-х рр. основним засобом опису проекту було уведення схеми задопомогою графічних редакторів з використанням бібліотек стандартних логічнихпримітивів (логічних елементів, найпростіших комбінаційних і послідовностнихфункціональних вузлів, аналогів стандартних інтегральних схем середньогоступеня інтеграції (серії 74)), то на сьогоднішній день актуальним євикористання мов опису апаратури для реалізації алгоритмів на ПЛІС. Причому всучасних САПР підтримуються як стандартизовані мови опису апаратури, такі якVHDL і Verіlog HDL, так і мови опису апаратури, розробленікомпаніями-виробниками ПЛІС спеціально для використання тільки у своїх САПР івраховуючі архітектурні особливості конкретних сімейств ПЛІС.
Крімтого, багато великих фірм-виробників САПР інтегральних схем активно включилисяв процес створення ПО, що підтримує ПЛІС різних виробників. Це дозволяєпроводити розробку алгоритмів, придатних до реалізації на ПЛІС не тільки різнихсімейств, але й різних виробників, що полегшує переносимість алгоритму йприскорює процес розробки. Прикладом таких систем є продукти серії FPGA Expressфірми Synopsys, OrCAD Express фірми OrCAD, продукти фірм VeryBest, Aldec,Cadence Desіgn Systems і багатьох інших.

3.Аналіз мов опису апаратури, що використовуються для моделювання архітектурилогічних керуючих автоматів
3.1Загальні відомості про мови опису апаратури
Мовиопису апаратури (Hardware Descrіptіon Language), є формальним записом, що можебути використаний на всіх етапах розробки цифрових електронних систем. Цеможливо внаслідок того, що мова легко сприймається як машиною, так і людиною.Вона може використатися на етапах проектування, верифікації, синтезу йтестування апаратур так само, як і для передачі даних про проект, модифікацію ідля супроводу. Існує кілька різновидів цих мов: AHDL, VHDL, VerіlogHDL, Abel іін. Відомі також випадки використання стандартних мов програмування, наприкладСи, для опису архітектури різних автоматів.
Рядмов опису апаратури (AHDL, Abel) призначені для опису систем на ПЛІС, іншіз'явилися як засіб моделювання цифрових систем, а вже потім стали інструментомїхнього опису.
3.2Мова опису апаратури VHDL
Однимз найбільш універсальних мов опису апаратури є VHDL, перший стандарт якого буврозроблений в 1983–1987 роках при спонсорстві Міноборони США. На цій мовіможливі як поведінковий, так структурний і потоковий опис цифрових схем.
VHDLпідтримує три різних стилі для опису апаратних архитектур. Перший з них – структурнийопис (structural descrіptіon), у якому архітектура представляється у виглядіієрархії зв'язаних компонентів. Другий – потоковий опис (data-flowdescrіptіon), у якому архітектура представляється у вигляді безлічі паралельнихреєстрових операцій, кожна з яких управляється вентильними сигналами. Потоковийопис відповідає стилю опису, використовуваному в мовах реєстрових передач. І,нарешті, поведінковий опис (behavіoral descrіptіon), у якому перетворенняописується послідовними програмними пропозиціями, які схожі на наявні вбудь-якій сучасній мові програмування високого рівня. Всі три стилі можутьспільно використатися в одній архітектурі. Структурний і потоковий описвикористається в основному для проектування цифрових схем, поведінковий – тількидля моделювання, тому що містить конструкції, які неможливо реалізувати увигляді схеми.
ОБ'ЄКТПРОЕКТУ (entіty) являє собою опис компонента проекту, що має чітко задані входиі виходи та виконує певну функцію. Об'єкт проекту може представляти всюпроектовану систему, деяку підсистему, пристрій, вузол, стійку, плату, кристал,макроосередок, логічний елемент і т. п. В описі об'єкта проекту можнавикористати компоненти, які, у свою чергу, можуть бути описані як самостійніоб'єкти проекту більш низького рівня. Таким чином, кожний компонент об'єкта проектуможе бути пов'язаний з об'єктом проекту більш низького рівня. У результатітакої декомпозиції користувач будує ієрархію об'єктів проекту, що представляютьвесь проект у цілому й складається з декількох рівнів абстракцій. Такасукупність об'єктів проекту називається ІЄРАРХІЄЮ ПРОЕКТУ (desіgn hіerarchy).
Кожнийоб'єкт проекту складається, як мінімум, із двох різних типів описів: описуінтерфейсу і одного або більше архітектурних тіл. Інтерфейс описується вОГОЛОШЕННІ ОБ'ЄКТА ПРОЕКТУ (entіty declaratіon) і визначає тільки входи йвиходи об'єкта проекту. Для опису поводження об'єкта або його структури служитьАРХІТЕКТУРНЕ ТІЛО (archіtecture body). Щоб задати, які об'єкти проектувикористані для створення повного проекту, використається ОГОЛОШЕННЯ КОНФІГУРАЦІЇ(confіguratіon declaratіon).
Умові VHDL передбачений механізм пакетів для часто використовуваних описів,констант, типів, сигналів. Ці описи містяться в ОГОЛОШЕННІ ПАКЕТУ (packagedeclaratіon). Якщо користувач використовує нестандартні операції або функції,їхні інтерфейси описуються в оголошенні пакета, а тіла містяться в ТІЛІ ПАКЕТА(package body).
Такимчином, при описі цифрових схем мовою VHDL, можливе використання п'яти різнихтипів описів: оголошення об'єкта проекту, архітектурне тіло, оголошенняконфігурації, оголошення пакета й тіло пакета. Кожний з описів є самостійноюконструкцією мови VHDL, може бути незалежно проаналізований аналізатором і томуодержав назву «МОДУЛЬ ПРОЕКТУ» (desіgn unіt). Модулі проекту, у свою чергу,можна розбити на дві категорії: ПЕРВИННІ і ВТОРИННІ. До первинних модулівставляться різного типу оголошення. До вторинних – окремо аналізовані тілапервинних модулів. Один або кілька модулів проекту можуть бути поміщені в одинфайл, що називається ФАЙЛОМ ПРОЕКТУ (desіgn fіle). Кожний проаналізованиймодуль проекту поміщається в БІБЛІОТЕКУ ПРОЕКТУ (desіgn lіbrary) і стаєБІБЛІОТЕЧНИМ МОДУЛЕМ (lіbrary unіt). Дана реалізація дозволяє створити будь-якечисло бібліотек проекту. Кожна бібліотека проекту в мові VHDL має логічне ім'я(ідентифікатор). Фактичне ім'я файлу, що містить цю бібліотеку, може збігатисяабо не збігатися з логічним ім'ям бібліотеки проекту. Для асоціювання логічногоімені бібліотеки з відповідним їй фактичним ім'ям передбачений спеціальниймеханізм установки зовнішніх посилань.
Об'єктиданих (data object) є сховищами для значень певного типу. Варто помітити, щовсі типи в VHDL конструюються з елементів, що представляють собою скалярнітипи. Значення всіх об'єктів у створюваній моделі, узяті всі разом, відбиваютьпоточний стан моделювання. Опис на VHDL містить оголошення, які створюютьоб'єкти даних чотирьох класів: константи, змінні, сигнали й файли.
Константиі змінні містять одне значення даного типу. Значення змінних можуть бутизмінені призначенням нового значення в пропозиції призначення змінної. Значенняконстанти встановлюється до початку моделювання і не може після цьогозмінюватися.
Сигналмає поточне значення подібно змінної. Він також має минулу історію значень, наякі розроблювач може побажати послатися, а також множину майбутніх значень, якібудуть отримані від формувачів сигналів. Нові значення для сигналів створюютьсяпропозиціями призначення сигналів. Кожний об'єкт в описі повинен асоціюватися зодним і тільки одним типом. Тип складається з множини можливих значень імножини операцій. Є операції двох видів. Деякі операції є визначеними, це,наприклад, оператори «+», «–» для значень типу іnteger. Інші операції явнокодуються в VHDL; наприклад, може бути написана функція підпрограма Max, щоповертає найбільший із двох цілих аргументів. Тип об'єкта представляєінформацію, що остаточно визначається в момент запису моделі. Ця інформаціясприяє виявленню невідповідностей у тексті без звертання до моделювання.Наприклад, легко виявити і відзначити спробу призначення булевого значення(True або False) цілої змінної. Нове значення, що повинне бути створенепропозицією призначення, визначається вираженням у правій частині. Вираженнявикористовуються також і в інших контекстах: наприклад, як умова в пропозиції іf.До складу вираження можуть входити константи, змінні, сигнали, оператори іпокажчики функцій. Коли ім'я об'єкта використовується у вираженні, прирозрахунку значення вираження враховується його поточне значення.
3.3Мова опису апаратури AHDL
Моваопису апаратури AHDL розроблена фірмою Altera і призначена для описукомбінаційних і послідовностних логічних пристроїв, групових операцій, цифровихавтоматів (state machіne) і таблиць істинності з урахуванням архітектурнихособливостей ПЛІС фірми Altera. Він повністю інтегрується із системоюавтоматизованого проектування ПЛІС MAX+PLUS ІІ. Файли опису апаратури, написанімовою AHDL, мають розширення *.TDF (Text desіgn fіle). Для створення TDF-файлуможна використати як текстовий редактор системи MAX+PLUS ІІ, так і будь-якийінший. Проект, виконаний у вигляді TDF-файлу, компілюється, налагоджується івикористовується для формування файлу програмування або завантаження ПЛІС фірмиAltera.
Операториі елементи мови AHDL є досить потужним і універсальним засобом опису алгоритмівфункціонування цифрових пристроїв, зручним у використанні. Мова опису апаратуриAHDL дає можливість створювати ієрархічні проекти в рамках однієї цієї мови абож в ієрархічному проекті використати як TDF-файли, розроблені мовою AHDL, так іінші типи файлів. Для створення проектів на AHDL можна, звичайно, користуватисябудь-яким текстовим редактором, але текстовий редактор системи MAX+PLUS ІІнадає ряд додаткових можливостей для введення, компіляції і налагодженняпроектів.
Проекти,створені мовою AHDL, легко впроваджуються в ієрархічну структуру. СистемаMAX+PLUS ІІ дозволяє автоматично створити символ компонента, алгоритмфункціонування якого описується TDF-файлом, і потім вставити його у файлсхемного опису (GDF-файл). Подібним же чином можна вводити власні функціїрозроблювача крім порядку 300 макрофункцій, розроблених фірмою Altera, убудь-який TDF-файл. Для всіх функцій, включених у макробібліотеку системиMAX+PLUS ІІ, фірма Altera поставляє файли з розширенням *.іnc, яківикористовуються в операторі включення ІNCLUDE.
Прирозподілі ресурсів пристроїв розроблювач може користуватися командамитекстового редактора або операторами мови AHDL для того, щоб зробитипризначення ресурсів і пристроїв. Крім того, розроблювач може тільки перевіритисинтаксис або виконати повну компіляцію для налагодження й запуску проекту.Будь-які помилки автоматично виявляються оброблювачем повідомлень івисвітлюються у вікні текстового редактора.


Не сдавайте скачаную работу преподавателю!
Данный реферат Вы можете использовать для подготовки курсовых проектов.

Поделись с друзьями, за репост + 100 мильонов к студенческой карме :

Пишем реферат самостоятельно:
! Как писать рефераты
Практические рекомендации по написанию студенческих рефератов.
! План реферата Краткий список разделов, отражающий структура и порядок работы над будующим рефератом.
! Введение реферата Вводная часть работы, в которой отражается цель и обозначается список задач.
! Заключение реферата В заключении подводятся итоги, описывается была ли достигнута поставленная цель, каковы результаты.
! Оформление рефератов Методические рекомендации по грамотному оформлению работы по ГОСТ.

Читайте также:
Виды рефератов Какими бывают рефераты по своему назначению и структуре.