Реферат по предмету "Информатика, программирование"


Генератор випадкових чисел

Міністерствоосвіти і науки України
Національнийтехнічний університет
«Харківський політехнічнийінститут»
Кафедра «Обчислювальна техніка тапрограмування»
УДК
Інв.№
Генераторвипадкових чисел
Альбомдокументів курсового проекту по дисципліні
«Комп’ютерна електроніка»
Група 123ДКП

Анотація
Даний курсовий проектявляє собою розробку генератора випадкових чисел. Цей генератор чисел дозволяєгенерувати випадкові числа в двох діапазонах: від 0 до 36, і від 0 до 49.
Розробка виконана наелементах ТТЛ — логіки малого і середнього ступеня інтеграції. У пояснювальнійзаписці приведені необхідні обґрунтування, розрахунки й описи принципу дії якгенератора випадкових чисел в цілому, так окремих його функціональних блоків івузлів.
Альбом документівкурсового проекту крім пояснювальній записки містить креслення структурної тапринципової схем генератора випадкових чисел.
Розробка ведетьсязгідно з завданням на виконання курсового проекту.

Міністерствоосвіти і науки України
Національнийтехнічний університет
«Харківський політехнічнийінститут»
Кафедра «Обчислювальна техніка та програмування»
Затверджено
Завідуючийкафедрою ОТП
"____" __________ 200_р.
Генераторвипадкових чисел
Технічнезавдання
Група 123 ТЗ
Розробники
Керівник проекту
"___"____________200_р.
Виконавець
"___"____________200_р.
Харків2008

Зміст
Найменування та межі застосування
Найменування
Межі застосування
Основа для розробки
Вимоги до продукту
Склад виробу, технічніхарактеристики і рекомендації
Серії використаних мікросхем
Принцип дії
Сигнали на вхідних шинах
Сигнали на вихідних шинах
Вимоги до документації
Умови експлуатації
Термін розробки

Найменування та межізастосування.
Найменування.
Генератор випадковихчисел.
Межі застосуваня.
Застосовується длягенерації випадкових чисел при контролі знань та у різноманітних ігровихситуаціях.
Основа для розробки.
Основою для розробкиє завдання на курсове проектування за курсом «Комп’ютерна електроніка».
Вимоги до продукту.
Склад виробу,технічні характеристики і рекомендації.
Прибор повиненскладатися з однієї друкованної плати.
Прибор повиненживитися від джерела живлення однополярної напруги.
Прибор повинензабеспечувати високу випадковість генеруємих чисел.
Серії використанихмікросхем.
Використовуватимікросхеми ТТЛ або ТТЛШ логіки серії К555 або КР1533.
Принцип дії.
При натисканні накнопку запуску повине генеруватися випадкове число, яке повино бути відображенона індикаторі протягом кількох секунд.
Сигнали на вхіднихшинах.
Вхідних шин не має.
Сигнали на вихіднихшинах.
Вихідних шин не має.
Вимоги додокументації.
Конструкторськадокументація повина містить наступні документи:
відомість проекту;
технічне завдання;
пояснювальну записку;
схему електричнуструктурну;
схему електричнупринципову;
перелік елементів.
Умови експлуатації.
Температурнийдіапазон — +15...+40С.
Відносна вологість — 40%.
Термін розробки.
Розробити до 200_року.

Міністерствоосвіти і науки України
Національнийтехнічний університет
«Харківський політехнічнийінститут»
Кафедра «Обчислювальна техніка та програмування»
Затверджено
Завідуючийкафедрою ОТП
"____" __________ 200_р.
Генераторвипадкових чисел
Пояснювальназаписка
Група 123ПЗ
Розробники
Керівник проекту
"___"____________200_р.
Виконавець
"___"____________200_р.
Харків2008

Реферат
Даний документ являєсобою пояснювальну записку обсягом аркушів. У пояснювальній записціпредставлено таблиць, рисунків, використано джерел літератури.
У курсовому проектірозроблений генератор випадкових чисел, побудований на інтегральних мікросхемахТТЛШ. Цей генератор чисел дозволяє генерувати випадкові числа в двохдіапазонах: від 0 до 36, і від 0 до 49. Він може бути застусован урізноманітних іграх.
Документація поданому пристрої супроводжується розрахунками часових характеристик, споживанихструмів і потужності, що розсіюється.

Зміст
Вступ
Обгрунтування прийнятого рішення
Структурна схема пристрою
Вибір та призначення структурнихблоків
Розробка структурної схемипристрою
Опис принцупу дії за структурноюсхемою
Вибір і обґрунтування елементноїбази
Вибір і обґрунтування серіїмікросхем
Мікросхема К555ЛА3
Мікросхема К555ИЕ20
Мікросхема К555ИД18
Мікросхема К555ЛА1
Вибір індикаторів
Розробка та опис принципу діїїпринципової схеми пристрою
Розробка принципової схемипристрою
Опис принципу дії за принциповоюсхемою
Робота генератору тактовихімпульсів
Режим генерування чисел від 0 до36
Режим генерування чисел від 0 до49
Розрахунок споживаних струмів іпотужності, що розсіюється
Розрахунок споживаних струмів
Розрахунок потужності, щорозсіюється
Результати застосування ЕОМ припроектуванні пристрою
Моделювання частини принциповоїсхеми
Оформлення конструкторськоїдокументації
Висновоки
Список використаних літературнихджерел
Додаток 1. Схема до пакету ElectronicsWorkbench
Додаток 2. Часові діаграми роботипристрою

Вступ
За останні рокирозвиток обчислювальної техніки досяг меж, які здавалися неможливими ще якесьдесятиріччя тому. Повсякденне її використання стимулюється розширенням сфериможливих застосувань, а масовість реалізацій призводить до доступності з точкизору цінового фактору.
Досить часто в нашомужитті виникають ситуації, коли необхідно одержувати випадкові абопсевдовипадкові числові послідовності. Найчастіше дана задача виникає приорганізації різного роду ігрових ситуацій. У таких випадках на допомогу людиніприходить електронний пристрій, принцип дії якого незмінний, і яке не підданоморальному фактору.
Розроблювальнийпристрій призначений для генерування випадкового числа в двох діапазонах: від 0до 36, і від 0 до 49. Пристрої такого типу є високоточними і знаходятьзастосування в різних ігрових ситуаціях.
Успіхи, досягнуті востанні роки в області електроніки, обумовили широке впровадженнямікроелектроніки в засоби електричних вимірів.
Заміна електроннихламп транзисторами й особливо інтегральними мікросхемами стимулюваларозробників приладів до пошуків і впровадження нових методів, реалізація яких уминулі роки була немислимою через велику складність, а отже, низької надійностіприладів і високої вартості.
Задачею даного курсовогопроекту є розробка електронно-счетного генератора випадкових чисел.

Обгрунтуванняприйнятого рішення
Після аналізутехнічного завдання ГРУПА.xxxxxx.123 ТЗ можна запропонувати кілька варіантіврішення поставленої задачі. Незмінним залишається принцип дії: за бажаннямкористувача необхідно зробити запуск схеми. Генератор повинний згенерувативипадкове число, що потім повинне бути відображене на індикаторі.
У такий спосібз'являється кілька варіантів реалізації запуску схеми. Найбільш кращим є запускпристрою шляхом натискання користувачем кнопкового перемикача.
Також існує рядваріантів функціонування генератора самого випадкового числа. Одним знайпростіших і одночасно надійним рішенням буде генерація деякої досить великоїчастоти. Імпульси, що генеруються повинні додаватися лічильником, модульрахунка якого відповідає діапазону випадкових чисел, що генеруються. Тому щоперіод запуску пристрою на одержання чергового випадкового числа значно більшеперіоду генеруємих імпульсів, то в такий спосіб і досягається ефект випадковостічисел, що генеруються.
Індикаторсгенерованих чисел може бути довільним. Його характеристики залежать відконкретних вимог, пропонованих до пристрою. Це можуть бути як найпростішііндикатори одного з двох станів, так і складні знакосинтезуючі індикатори. Уданому випадку вважається доцільним застосування двох семисегментнихіндикаторів.

Структурна схемапристрою
Вибір та призначенняструктурних блоків.
Розглянувши принципдії пристрою, можна визначити структурні блоки, з яких повинна складатисяструктурна схема генератора випадкових чисел.
Блок запуску – блок,який не має вхідних сигналів, а тільки генерує сигнал до запуску генераторуімпульсів та блоку формування затримки часу відображення числа;
Генератор імпульсів –блок, який має один вхідний сигнал запуску та дозволу генерування імпульсів, тана виході має прямокутні імпульси певної частоти;
Блок рахунку – блок,який має два входи: вхід тактової частоти, та вхід скидання. Цей блокпідраховує тактові імпульси, котрі є на виході блоку у рівнобіжному коді;
Блок перебудовикоефіцієнтів – блок, який аналізує код на виході блоку рахунку, та формуєсигнал скидання блоку рахунку при досягнені крайнього значення діапазону, якийзадається блоком вибору коефіцієнтів;
Блок виборукоефіцієнтів – формує сигнал для блоку перебудови коефіцієнтів за вимогамикористувача;
Блок індикації –відображає сгенероване випадкове число протягом часу, який задається блокомвормування затримки;
Блок формуваннязатримки – блок, який має вхідним сигнал з блоку запуску, та який формуєімпульс довжиною по часу, який блок індикації повинен відображати сгенерованевипадкове число.

Розробкаструктурної схеми пристрою
Структурна схемапристрою повина складатися з структурних блоків, які були розлянуті упопередньому розділі цього документу.
Структурна схема маєпослідовний характер поєднання блоків, за винятком блоку рахунку та блокуперебудови коефіцієнтів, які поєднані кільцовим засобом.
Разработанаструктурна схема представлена у документі ГРУПА.xxxxxx.123 Е1.
Опис принципу дії заструктурною схемою.
Розглянувшиструктурну схему, яка представлена у документі ГРУПА.xxxxxx.123 Е1, видно, щовона має послідовний характер поєднання блоків, за винятком блоку рахунку таблоку перебудови коефіцієнтів, які поєднані кільцовим засобом.
При натисканнікористувачем на кнопку запуску пристрою на виході блоку запуску формуютьсясигнали, які запускають генератор імпульсів та блок формквання затримки. Покикористувач тримає кнопку – генератор імпульсів формує на виході прямокутніімпульси певної частоти, які підраховує блок рахунку. Коли користувач припинетримати кпонку запуску – генератор імпульсів перестане формувати імпульси,таким чином на виході блоку рахунку буде зафіксовано випадкове число, яке будевідображаться за допомогою блоку індикації. Час індикації залежить від часузатримки, яке формується на виході блоку затримки після відпусканнякористувачем кнопки запуску.
Коли блок рахункудорахує вхідні імпульси до крайнього значення діапазону, блок перебудовикоефіцієнтів скине блок рахунку у нульовий стан. Таким чином забезпечуєтьсяпотрібний користувачеві діапазон випадкових чисел, що генерується.

Вибір іобґрунтування елементної бази
Вибір і обґрунтуваннясерії мікросхем.
Для забезпеченняпотрібних технічних характеристик пристою потрібно правильно здійснити вибірелементної бази составу пристрою. Використання мікросхем серії К555 даєможливість зменшити собівартість приладу, та здатність працювати на більшвеликих частотах.
Відмінною рисоюмікросхем К555 є те, що вони розроблені за технологією ТТЛШ. Від мікросхемсерії К155 їх відрізняє наявність в активних елементах схеми діодів Шотки, щошунтуют колекторні переходи транзисторів. Діод Шотки має більш низьке прямепадіння напруги, чим кремнієвий p-n перехід, і охороняє транзистор від насичення.
Уведення діодів Шоткивиключає нагромадження зарядів, що збільшують час вимикання транзистора ісприяє стабільності часових параметрів транзистора в робочому діапазонітемператур, тому швидкість переключення схеми зростає в порівнянні з ІС К155, виконанихбез діодів Шотки.
У мікросхемах,виконаних на ТТЛ і ТТЛШ, переключення супроводжуються кидками струму в ланцюзіживлення, споживаний потужність росте з частотою. У статичному режимімікросхеми ТТЛШ споживають практично таку ж потужність, як мікросхеми ТТЛ.Однак при частоті переключення порядку 50 Мгц потужність, що розсіюється,подвоюється, а при 100 Мгц — потроюється.
Виходячи звищеописаних достоїнств серії К555, ця серія обрана в якості основний,використовуваної в пристрої.

Мікросхема К555ЛА3
Мікросхема К555ЛА3являє собою чотири логічних елементи 2І-НІ.
Умовне графічнепозначення мікросхеми К555ЛА3 приведено на рис.1.
/>

Рис. 1.
Робота логічногоелемента мікросхеми описується таблицею 1.Входи Вихід 1 1 1 1 1 1 1
Таблиця 1.
 
МікросхемаК555ИЕ20
Мікросхема К555ИЕ20являє собою два чотирирозрядних асинхроних лічильника.
Кожний із лічильниківмає у своєму склаі два дільника: дільник на два (вхід C1, вихід Q0) и дільникна п’ять (вхід C2, вихіди Q1, Q2, Q3). Зміна станів здійснюється по негативнимфронтам імпульсів на входах C.
Для отриманнядвійково-десятичного лічильника вихід Q0 з'єднують зі входом C2.
Для асинхронногоскидання лічильника треба на вхід R подати рівень логічної одиниці. У режимірахунку на вході R повинен бути рівень логічного нуля.
Умовне графічнепозначення наведене на рис.2./> />

Рис. 2.

МікросхемаК555ИД18
Мікросхема К555ИД18являє собою дешифратор-перетворювач двійково-десяткового коду у семисегментний.
Мікросхема призначенадля керування сімисегментними світлодіоднимі індикаторами з об'єднаними анодамитипу АЛС324Б. Структура дешифратора-перетворювача дозволяє забезпечити кількафункцій керування. Перша з них — звичайне перетворення двоїчно-десяткового кодув сімисегментний реалізується при LT=RBI=1 і ілюструється з першої пошістнадцяту рядками таблиці істинності. У цьому режимі вивід BI/RBO є входом,на якому встановлюють або рівень логічної «1», або його залишають вільним. Длягасіння індикаторів на вхід BI/RBO досить подати рівень логічного «0», а стануінших входів при цьому можуть бути довільними.
Наступний режим — цережим бланкування, що реалізується при LT=1 і RBI=0. У цьому режимі вивідBI/RBO є виходом, на якому з'являється рівень логічного «0», якщо на вході DI єприсутнім нуль. При цьому всі сегменти індикатора гаснуть. У той же час принадходженні на вхід DI будь-якого однорозрядного десяткового числа відмінноговід нуля, дешифратор виконує звичайні функції перетворення з відображанням.Таке селективне гасіння забезпечує вимикання світних нулів у старших значущихрозрядах багаторозрядних десяткових чисел. У цьому випадку вивід BI/RBOдешифратора старших розрядів з'єднують із входом RBI дешифратора розрядів зменшою вагою, вихід BI/RBO якого з'єднують із входом RBI наступного дешифратораі т.д.
Для контролюпрацездатності індикаторів уведена ще одна функція — примусове відображаннявсіх сегментів (останній рядок таблиці істинності). У даному режимі LT=0, авивід BI/RBO використовується подібно тому, як він був задіяний у режимі звичайногоперетворення.

Таблиця 2.Код Входи BI/RBO Виходи LT RBI DI8 DI4 DI2 DI1 G F E D C B A 1 1 1 1 1 1 1 1 1 1 1 1 1 1 2 1 1 1 1 1 1 3 1 1 1 1 1 1 1 4 1 1 1 1 1 1 1 5 1 1 1 1 1 1 1 6 1 1 1 1 1 1 7 1 1 1 1 1 1 1 1 1 1 8 1 1 1 1 9 1 1 1 1 1 1 10 1 1 1 1 1 1 1 1 1 11 1 1 1 1 1 1 1 1 1 1 12 1 1 1 1 1 1 1 1 1 13 1 1 1 1 1 1 1 1 1 14 1 1 1 1 1 1 1 1 1 15 1 1 1 1 1 1 1 1 1 1 1 1 1 1 BI/RBO X X X X X X 1 1 1 1 1 1 1 RBI 1 1 1 1 1 1 1 1 LT X X X X X 1 /> />
Рис. 3.
Умовне графічнепозначення наведено на рис.3.
Мікросхема К555ЛА1
Мікросхема К555ЛА2являє собою два логічних елементи 4І-НІ./> />
Рис. 4.
Умовне графічнепозначення мікросхеми К555ЛА2 приведено на рис.4.

Робота логічногоелемента мікросхеми описується таблицею 3.
Таблиця 3.Входи Вихід 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
Вибір індикаторів.
Для відображенняінформації треба використовувати семісегментні знакосітезуючі індикатори.Індикатор АЛС324Б відповідає усім вимогам, які пред'являються до індикатора.Одна з вимог – елементи індикатора повині бути з спільним анодом.

Розробка та описпринципу дії принципової схеми пристрою
 
Розробкапринципової схеми пристрою
Розглянувши стуктурнусхему, яка представлена у документі ГРУПА.xxxxxx.123 Е1, видно, що вонаскладається з наступних структурних блоків:
БЛОК ЗАПУСКУ;
ГЕНЕРАТОР ІМПУЛЬСІВ;
БЛОК РАХУНКУ;
БЛОК ПЕРЕБУДОВИКОЕФІЦІЄНТІВ;
БЛОК ВИБОРУКОЕФІЦІЄНТІВ;
БЛОК ІНДИКАЦІЇ;
БЛОК ФОРМУВАННЯЗАТРИМКИ.
На принциповій схеміці блоки будуть представлені наступними елементами. Блок запуску складається зрезистору R1 та кнопки SA1. До складу генератора іпульсів належать наступніелементи: логічні елементи DD1.1, DD1.3, резистори R2, R4, конденсатор C1. Блокформування затримки виконаний на логічних елементах DD1.2, DD1.4, резисторі R3,конденсаторі C2 та діоді VD1. Блок рахунку побудован на двох лічильниках DD3.1,DD3.2. Блок індикації складається з двох дешифраторів DD4, DD5, та самеіндикаторів HL1, HL2. Елементи DD6.1-DD6.4, DD7.1, DD7.2 належать до складублока перебудови коефіцієнтів. Блок вибору коефіцієнтів представлен перемикачемSA3.
Розроблена принциповасхема представлена в документі ГРУПА.xxxxxx.123 Е3.

Опис принципу діїза принциповою схемою
Опис принципу діїпристорою проводиться спираючись на принципову схему, яка представлена удокументі ГРУПА.xxxxxx.123 Е3.
Робота генераторутактових імпульсів.
При натисканні кнопкиSA1 на вивід 2 DD1.1 надходить рівень логічної одиниці, який дозволяє роботугенератора імпульсів на елементах DD1.1 та DD1.3. Також заряджаєтьсяконденсатор С1 через резистор R1 і діод VD1.
З вихода генератораімнульси надходять на лічильники DD3.1 і DD3.2, які виконують підрахунок цихімпульсів від 0 до 36, або від 0 до 49. Частота генератора обирається із умови:
/>,
де f – частотагенератора;
N – максимальне числозаповнювання лічильника;
T – мінімальний часнатискання кнопки.
Так як N=50, аT>=0,2 с – середній час реакції людини, то при частоті генератора 2...3 кГцбуде мати місце багатократне заповнювання лічильника, а зупинка його буде привідпусканні кнопки запуску. З того моменту запускається одновібратор на 2...3 си дозволяє світіння ціфрових індикаторів. Цей час обран виходячи із вимогдостовірного зчитування отриманної інформаціїї, та мінімальногоенергопостачання.
Тривалість імпульсуна виводі 11 DD1.4 обчислюється за формулою:
/>

Режим генеруваннячисел від 0 до 36
Пристрій скиданнялічильників зібрано на мікросхемах DD6.1-DD6.4 і DD7.1, DD7.2. Управліннярежимом роботи здійснюється за допомогою перемикача SA3 «36 – 49» подачеюлогічного нуля або логічної одиниці на вивід 9 мікросхеми DD6.3.
Мікросхема DD7сигналізує про надходження логічних сигналів при досягненні лічильником стану37, такий стан виходить при наявності потенціалів логічної одиниці на виводах 1і 14 мікросхеми DD4 і на виводах 7 і 9 мікросхеми DD5. Для узгодження понавантажувальній здатності цих мікросхем використовуються емітерні повторювачіна транзисторах VT2 і VT3, що керують роботою сегментів g індикатора HL1 і eHL2.
 
Режим генеруваннячисел від 0 до 49
Режим генеруваннячисел від 0 до 49 дуже схожий на процес, який описано у попередній частині, завинятком деяких розбіжностей.
У стані «49» навиводі 13 DD6.4 установлюється потенціал логічної одиниці і скиданнялічильників у нульовий стан відбувається при досягненні значення 50, тобто вмомент появи логічної одиниці на виводі 12 мікросхеми DD5, що приводить дозміни стану на виводі 8 DD7.2; у такий спосіб лічильники роблять підрахунокімпульсів від 0 до 49. Повторювач логічного сигналу, виконаний на двохінверторах DD6.1 і DD6.2, забезпечує розв'язку мікросхеми DD5 понавантажувальній здатності.

Розрахунокспоживаних струмів і потужності, що розсіюється
 
Розрахунокспоживаних струмів
Для визначенняпотужності, що розсіюється Pj необхідно знати напруги живлення (UП) і струмспоживання (IП):
/>
Споживані кожнимтипом мікросхем струми визначаємо на підставі їхніх паспортних даних.Максимальні значення струмів споживання для всіх типів використовуваних мікросхемприведені в табл.8.
Таблиця 4.
Струми споживаннявикористовуваних мікросхем.№ п.п. Тип мікросхеми Максимальний струм споживання (IПi,mА) 1 К555ЛА3 4,4 2 К555ИЕ20 26 3 К555ИД18 13 4 К555ЛА1 2,2
Таким чином, напідставі даних приведених у табл. 4 і переліку елементів (ГРУПА.xxxxxx.123 ПЕ),одержимо:
I = 2*4,4+26+2*13+2,2= 63,00mВт.
Розрахунокпотужності, що розсіюється
Споживану пристроємпотужність можна розрахувати як суму споживаних потужностей усіх мікросхем увідповідності з наступним вираженням:
/>
де N – кількістьтипів мікросхем,
Pi – потужністьспоживана всіма мікросхемами типу N-го типу.
Причому, Piвизначається у відповідності з наступним вираженням:
/>
де m – кількістьмікросхем N-го типу,
Pj – потужністьспоживана однією мікросхемою N-го типу.
На підставі вираженьодержимо:
/>
Причому, тому що вданому випадку всі мікросхеми мають однакові напруги харчування (+5В), те:
/>
Таким чином, знаючиструм, що споживає пристрій та напругу живлення можна обчислити потужністьспоживана від джерела живлення, котра складе:
P = 5 * 0,063 =0,32 Вт.

Результатизастосування ЕОМ при проектуванні пристрою
 
Моделюваннячастини принципової схеми
Моделювання схемзастусовують утих випадках, коли бажають більш глибоко розібратись, зрозумітита протестувати роботу схеми або деякої її частини.
При розробці пристроюсполучення був використаний пакет моделювання електронних схем ElectronicsWorkbench. У данному випадку за допомогою цього пакету було вирішенопромоделювати більшу й найважнішу частину принципової схеми, що представлена удокументі ГРУПА.xxxxxx.123 Е3. Було обрано для моделювання блок запуску, блокформування затримки, генератор імпульсів, блок рахунку, блок індикації.Получена схема наведена у Додатку 1.
Отримані часовідіаграми приведені в Додатку 2.
Пояснення до часовихдіаграм.
На часових діаграмахвідображені наступні сигнали:
перший – сигнал навиході генератору імпульсів;
другий – сигнал навиході блоку формування затримки;
останні вісім –сигнали на виході лічильників.
Оформленняконструкторської документації
При виконаннізавдання ЕОМ використовувалася для створення основного комплектуконструкторської документації, що дозволило зробити тексти, розрахунки ітаблиці більш точними і зручними для сприйняття.
Також буввикористаний пакет PCAD 4.5. За допомогою нього була зібрана схема пристрою.
/> />
Додаток 1. Схема до пакетуElectronics Workbench
/>
Додаток 2. Часовідіаграми роботи пристрою

Висновки
У ході виконаннякурсового проекту був розроблений пристрій генератор випадкових чисел.
Пристрій складаєтьсяз 7 мікросхеми серії К555. Пристрій має убудований тактовий генератор. Частотатактових сигналів 3 Кгц.
При вирішенні задачіпоставленої в курсовому проекті оформлений основний комплект конструкторськоїдокументації, розроблені структурна і принципова схеми пристрою. При розробціпристрою була проведена мінімізація по кількості корпусів, що дало можливістьзменшити апаратні витрати і підвищити надійність роботи пристрою, зменшитипотужність, що споживається від джерела живлення. Документи приведені вкурсовому проекті цілком пояснюють роботу спроектованого пристрою.
Пристрійспроектований на цифрових МС типу ТТЛШ серії К555 і може експлуатуватисявідповідно до умов експлуатації мікросхем даних серій.

Списоквикористаних літературних джерел
1. Орнатский П.П. Автоматическиеизмерительные приборы. Киев, «Техника», 1965, 422 с. с ил.
Пресман А.И. Расчет ипроектирование схем на полупроводниковых приборах для цифровых вычислительныхмашин. М. Изд-во иностр. лит., 1963, 376 с. с ил.
Аванесян Г.Р., Левшин В.П.Интегральные микросхемы ТТЛ, ТТЛШ: Справочник.  М.: Машиностроение, 1993. — 256с.: ил.
Новаченко И.В., Телец В.А.Микросхемы для бытовой радиоаппаратуры. Дополнение второе: Справочник. — М.: Радиои связь, 1991. — 272 с.: ил.
Савельев А.Я. Прикладная теорияцифровых автоматов: Учеб. для вузов по спец. ЭВМ. — М.: Высш. шк., 1987. — 272с.: ил.


Не сдавайте скачаную работу преподавателю!
Данный реферат Вы можете использовать для подготовки курсовых проектов.

Поделись с друзьями, за репост + 100 мильонов к студенческой карме :

Пишем реферат самостоятельно:
! Как писать рефераты
Практические рекомендации по написанию студенческих рефератов.
! План реферата Краткий список разделов, отражающий структура и порядок работы над будующим рефератом.
! Введение реферата Вводная часть работы, в которой отражается цель и обозначается список задач.
! Заключение реферата В заключении подводятся итоги, описывается была ли достигнута поставленная цель, каковы результаты.
! Оформление рефератов Методические рекомендации по грамотному оформлению работы по ГОСТ.

Читайте также:
Виды рефератов Какими бывают рефераты по своему назначению и структуре.