Курсовая работа по предмету "Производство и технологии"


Светодинамические установки


ВВЕДЕНИЕ

Светодинамические установки (СДУ) находят широкое применение в автомобильной электронике (для управления стоп-сигнальными "огнями"), для эстетического оформления баров, дискотек, казино, праздничной иллюминации, при подготовке выставок, презентаций, а также для организации световой рекламы.

СДУ с программируемыми алгоритмами позволяют реализовывать большое многообразие светодинамических эффектов и управлять по программе большим числом световых элементов. Такое устройство можно выполнить, к примеру, на одном микроконтроллере и нескольких регистрах, в качестве интерфейсных схем, для управления набором световых элементов. Применение распространенных микросхем стандартной логики позволяет построить полностью автономное многоканальное светодинамическое устройство с интегрированным программатором, не требующее использования никаких дополнительных программаторов, вообще, или компьютера, в частности.

СДУ можно разделить на три типа: к первой группе относятся простые в смысле аппаратной реализации устройства, реализующие ограниченный набор эффектов; ко второй группе относятся устройства с использованием ИМС памяти типа РПЗУ; третья группа - СДУ на микроконтроллерах. Представленное в данном проекте устройство условно можно отнести к промежуточной между второй и третьей группой категории.

В данном проекте реализована VHDL-модель устройства управления светодинамической индикацией. Язык описания аппаратуры для высокоскоростных интегральных схем (VHSIC), называемый VHDL, является формальной записью, которая может быть использована на всех этапах разработки электронных систем. Вследствие того, что язык легко воспринимается как машиной, так и человеком он может использоваться на этапах проектирования, верификации, синтеза и тестирования аппаратуры также как и для передачи данных о проекте, модификации и сопровождения.

1. ОБЗОР ТЕХНИЧЕСКИХ РЕШЕНИЙ

1.1. Классификация устройств светодинамической индикации

Светодинамические установки (СДУ), описания которых часто встречаются на страницах радиотехнической литературы, по архитектуре построения можно условно разделить на три группы. Первая группа - это устройства, в которых реализован ограниченный набор эффектов при относительно небольших аппаратных затратах, вторая группа - более сложные по архитектуре устройства с использованием ИМС памяти типа РПЗУ, третья группа - СДУ на микроконтроллерах. Применение устройств второй и третьей групп позволяет получить большое многообразие светодинамических эффектов. Реализация устройств первого типа не вызывает затруднений, в то время как изготовление СДУ второй группы требует применения специальных программаторов.

Как показывает практика эксплуатации светодинамических устройств, эстетический визуальный эффект создают именно устройства с "запрограммированным" алгоритмом, а не сформированным случайным образом с использованием генератора случайных чисел (ГСЧ). Поэтому устройства на основе ГСЧ можно не рассматривать в данной классификации.

Второй недостаток заключается в том, что в любом из указанных случаев набор светодинамических эффектов жестко фиксирован. Поэтому, если в устройствах на основе РПЗУ можно изменить набор эффектов путем перепрограммирования микросхемы памяти с использованием программатора, то в устройствах на основе жесткой логики, в которых заложен фиксированный алгоритм, это вообще невозможно. Неограниченное число световых эффектов доступно лишь автоматам на базе ПЗУ и МК, но для запуска таких приборов в действие пользователь должен располагать компьютером и программатором.

Третьим недостатком можно назвать возможность управления только ограниченным набором светоизлучающих элементов (светодиодов, ламп накаливания), поскольку на каждый элемент приходится один сигнальный провод.

1.2 Схемы-аналоги

1) Переключатель гирлянд.

Рисунок 1.1 - Переключатель гирлянд

Схема первого переключателя представлена на рис. 1. Это устройство управляет двумя гирляндами, состоящими из малогабаритных светодиодов красного и зеленого цветов, и предназначено для украшения небольшой новогодней елки.

На транзисторах VT1, VT2 собран симметричный мультивибратор, частота переключения которого определяется номиналами резисторов R1 -- R4 и конденсаторов Cl, C2. Для указанных на схеме номиналов этих элементов частота составляет около 1 Гц. В коллекторные цепи транзисторов включены две гирлянды из светодиодов HL1 -- HL32. Диоды VD1, VD2 и резисторы Rl, R4 необходимы для обеспечения перезарядки конденсаторов С1 и С2. Источник питания переключателя гирлянд выполнен по схеме однополупериодного выпрямителя на диоде VD3 с использованием балластного конденсатора С4 для гашения напряжения. Диод VD4 необходим для перезарядки конденсатора при положительной волне (относительно нижнего по схеме провода сети) напряжения, резистор R6 ограничивает импульс тока при включении устройства в сеть, когда конденсатор разряжен. Через резистор R5 конденсатор С4 разряжается после выключения устройства из сети. Пульсации выпрямленного напряжения сглаживаются конденсатором СЗ. Стабилитрон в блоке питания отсутствует, а напряжение на элементах мультивибратора ограничивается напряжением на включенной гирлянде светодиодов, т.е. светодиоды выполняют функцию стабилитронов. Поскольку в любой момент времени обязательно включена одна из двух гирлянд, напряжение на конденсаторе СЗ не может превысить напряжение на светящейся гирлянде.

Достоинство схемы: простота реализации.

Недостатки схемы: маленькая выходная мощность, наличие всего одного режима переключения гирлянды.

2) Автоматический переключатель гирлянд.

Данная схема довольно проста, но и она реализует достаточно большое количество световых эффектов, таких как «бегущая тень», «бегущий огонь», «попарное включение», «поочерёдное включение и гашение» и т.д.

Рисунок 1.2 - Автоматический переключатель гирлянд

Основа устройства - четырехразрядный регистр сдвига с параллельной загрузкой К555ИР16. Узел управления регистром состоит издвоичного счетчика К555ИЕ7 и логических элементов DD1.3 и DD3.1. Эффект "бегущих огней" достигается в одну сторону за счет обычного сдвига кода в регистре, в обратную - параллельной записью в регистр его на один разряд.

Задающий генератор автомата собран на элементах DD1.1 и DD1.2. Частота импульсов 3-4Гц. Её можно изменить подбором R1 И С1. Автомат может управлять не только светодиодами, но и лампами питающимися от сети. Для этого их надо подключить по следующей схеме.

Рисунок 1.3 - Схема включения ламп, питающихся от сети

Рассмотрим особенности работы этого устройства. На инвертирующий вход компаратора DA2 поступают пилообразные импульсы с частотой, равной двойной частоте сети. На неинвертирующий вход компаратора поступают треугольные импульсы инфранизкой частоты, которые формирует генератор, собранный на логических элементах микросхемы DD1. Элементы D1.1, DD1.2 и резисторы R10, R11 образуют триггер Шмитта, входящий в состав генератора. Допустим, на выходе логического элемента DD1.3 действует напряжение высокого уровня, а конденсатор С4 разряжен. В этом случае через диод VD5 и резистор R11 конденсатор С4 будет заряжаться, а напряжение на нем будет увеличиваться. Когда оно достигнет верхнего порога переключения триггера Шмитта, последний переключится в противоположное состояние, и на выходе элемента DD1.3 установится напряжение низкого уровня. Теперь конденсатор С4 будет разряжаться через открывшийся диод VD4 и резистор R10. При уменьшении напряжения до нижнего порога переключения триггер Шмитта вновь переключится в противоположное состояние, и процесс формирования импульса повторится. В результате на конденсаторе С4 форма напряжения будет близка к треугольной. Воздействие этого напряжения на неинвертирующий вход компаратора приводит к формированию на выходе компаратора импульсов тока изменяющейся скважности; эти импульсы тока, протекая через цепь управляющего электрода симистора VS 1, изменяют яркость ламп гирлянды (они подключены к гнездам "Нагрузка") от минимальной до максимальной и наоборот.

Стабилитрон VD3 необходим для того, чтобы "приподнять" пилообразное напряжение до уровня, соответствующего нижнему порогу переключения триггера Шмитта. В качестве микросхемы DA2 можно использовать, помимо указанной на схеме, компараторы типа К521САЗ. При использовании компараторов других типов придется применить усилитель тока выходного каскада. Транзисторы VT1, VT2 могут быть любыми структуры n-р-n. Замена остальных радиодеталей, думается, не вызовет затруднений.

Настройка устройства состоит в регулировании подстроечными резисторами R10 и R 11 скоростей зажигания и гашения ламп гирлянды.

Достоинства схемы: Больше режимов, чем у первой схемы, но меньше чем у нашей схемы, есть режимы, когда все огни горят либо все погашены, т.е. в этом случае нет никакого бегущего огня.

Недостатки схемы: Схема управления выходными тиристорами не предусматривает стробирования импульсов управления сигналом нулевого напряжения сети, т.о. переключатель создает помехи для электрооборудования, которые тем больше, чем мощнее нагрузка.

3) Автомат переключения гирлянды.

Предлагаемое устройство предназначено для плавного переключения обычной сетевой елочной гирлянды с часто той 0,2…2Гц. Яркость свечения ламп можно регулировать. Предполагается использование гирлянды напряжением питания 220В, мощностью не более 100 Вт. Принципиальная схема автомата переключения изображена на рис.1. Частотой переключения управляет мультивибратор, собранный на элементах DD1.3, DD1.4. Сдвиг момента открывания тиристора VD6 о т начала полупериода сетевого напряжения происходит из-за задержки переключения инверторов на логических элементах DD1.1 и DD1.2, формируемой цепью R6, R7, R9, С3. В каждом полупериоде напряжения сети конденсатор С3 медленно заряжается через резисторы R5, R6, R7 и быстро разряжается после переключения элементов DD1.1, DD1.2 через диод VD10 и открывшийся тиристор VD6.

Рисунок 1.4 - Автомат переключения гирлянды

Начальный сдвиг фазы напряжения, определяющий яркость свечения ламп гирлянды, устанавливают подстроечным резистором R6. Желаемую часто ту переключения мультивибратора устанавливают подстроечным резистором R8. Конденсатор С4 лучше всего выбрать неполярным. Допускается применение оксидного конденсатора с малым током утечки. При налаживании устройства следует помнить, что его элементы находятся под напряжением сети. Сначала отключают один из выводов диода VD11 и налаживают регулятор мощности так, что бы при перемещении движка резистора R6 освещенность ламп гирлянды изменялась от нуля до номинальной. После подключения диода VD11 подстроечным резистором R8 устанавливают желаемую частоту переключения гирлянды. Подстроечным резистором R9 добиваются, что бы конденсатор С2 успевал полностью заряжаться за полупериод переключения мультивибратора; это обеспечивает плавность переключения гирлянды. При необходимости мощность гирлянды может быть увеличена. Для этого тиристор КУ202К должен быть установлен на тепло отводящий радиатор, а диоды моста заменены на поддерживающие соответствующий ток нагрузки (их так же придется у становить на радиаторы). Если же вместо тиристора использовать симистор КУ208, то диодный мост вообще не потребуется, а мощность гирлянды может быть доведена до 2 к Вт. Приведенная схема реализует простейший световой эффект - плавное мигание ламп. Она является одной из самых примитивных конструкций автоматов световых эффектов.

Достоинство схемы: простота реализации.

Недостатки схемы: Управление всего одной гирляндой, отсутствие световых эффектов, а лишь мигание, схема создает помехи для других электроустройств.

4) «Дирижер иллюминации».

Многообразные световые эффекты для праздничной иллюминации позволяет создавать программируемая светодинамическая установка (ПСДУ). В ней вместо микросхем с жесткой логикой использовано постоянное запоминающее устройство (ПЗУ). Прибор может коммутировать 8 различных устройств по 32 программам, состоящим из 16 тактов. Причем возможны следующие варианты управления: фиксированный выбор (нажаты кнопки «Р» - «ручной режим» и одна из программ с обозначениями «1», «2», «4», «8», «16»); автоматическая смена программ, начиная с любой фиксированной (кнопка «Р» отжата - автоматический режим); дискретная смена времени повторения каждой программы ( кнопка «n»); ручная смена направления движения программ (тумблер «реверс»); автоматический реверс (тумблер «реверс» в среднем положении); изменение скорости движения программ (ручка «частота»); остановка программы (кнопка «S»); регулировка яркости свечения ламп экрана (ручка «яркость»).

Рассмотрим блок-схему ПСДУ (рис. 1.5).

I - генератор импульсов;

II - счетчик тактов;

III - счетчик программ;

IV - счетчик количества повторений;

V - устройство реверса;

VI - ПЗУ;

VII - узел коммутации и индикации;

VIII - экран;

IX - регулятор яркости.

Рисунок 1.5 - Блок-схема программируемой светодинамической установки

Принципиальная схема устройства представлена на рисунке 1.6.

Рисунок 1.6 - Принципиальная схема ПСДУ (резисторы R7, R15 опущены)

В ПЗУ хранятся 32 программы по 16 тактов. С каждым импульсом, приходящим с генератора, меняется выходной код счетчика тактов. Соответственно меняется выходной код ПЗУ. Через каждые 16 тактов на счетчик количества повторений и устройство реверса приходит импульс, увеличивающий состояние первого и изменяющий действие второго. В результате направление счета счетчика тактов изменяется на противоположное, и ячейки ПЗУ опрашиваются в обратном порядке, приводя к смене изображений на экране. Когда состояние счетчика количества повторений достигает значения, заданного переключателем программ, счетчик программ увеличивает свое состояние на 1, вызывая тем самым новую программу, записанную в ПЗУ.

Действует ПСДУ следующим образом. На логических элементах DD2.1 и DD2.2 (рис. 1.6) выполнен генератор прямоугольных импульсов. Частоту их следования можно плавно менять переменным резистором R4. С генератора импульсы поступают на двоичный реверсивный счетчик DD4, и далее с его выхода информация приходит на ПЗУ. Когда счетчик тактов досчитывает до 15, на выходе переноса Р появляется импульс, который через счетчик количества повторений DD1 поступает непосредственно на тактовый вход микросхемы DD5 счетчика программ. Счетчик программ выполнен на МС DD5 и триггере DD3.2. Входным кодом ПЗУ, определяемым счетчиками тактов (DD4) и программ (DD5, DD3.2), задается состояние его выходов. Рассмотрим возможности управления направлением «бега» огней. Если переключатель SA1 находится в нижнем по схеме положении, на выходе триггера DD3.1, соединенного со входом +1 DD4, присутствует логическая I, что соответствует прямому направлению счета. В верхнем положении SA1 реализуется обратный счет. В среднем положении того же переключателя импульсы поступают со счетчика количества повторений (DD1), и на триггере DD3.1 попеременно будут появляться логические 0 и 1 - направление «бега» периодически меняется. Возможны два режима выбора программ. В ручном фиксируется одна из 32 программ, номер которой в двоичном коде задается положением кнопок SB1 - SB4, SB6. При отпускании кнопки SB5 осуществляется автоматический перебор программ, начиная с номера, установленного SB1 - SB4, SB6.

Достоинства схемы: схема реализует широкий спектр световых эффектов, возможность ручного управления и автоматической смены программ, невысокая стоимость элементной базы.

Недостатки схемы: сложность управления (панель управления непонятна обычному пользователю), отсутствует защита от наведения помех в электрической сети.

2 СИНТЕЗ ЭЛЕКТРИЧЕСКОЙ СТРУКТУРНОЙ СХЕМЫ И ЭЛЕКТРИЧЕСКОЙ ПРИНЦИПИАЛЬНОЙ СХЕМЫ

2.1 Состав структурной схемы

Основываясь на выбранном направлении проектирования разработана схема структурная Э1 (чертеж АП-13А.7.091401.18 Э1), которая содержит следующие элементы:

- генератор импульсов;

- делитель частоты;

- формирователь кода;

- формирователь сброса;

- переключатель направления;

- сдвиговый регистр;

- индикатор режимов;

- схему управления нагрузкой;

- блок питания (на структурной схеме не показан).

2.2 Описание работы устройства по его структурной схеме

После включения блока питания генератор опорной частоты подает импульсы на делитель частоты и на сдвиговый регистр. При включении устройства формирователь сброса вырабатывает импульс для установки сдвигового регистра в ноль. Также импульс сброса поступает на формирователь кода. В формирователе кода формируется такая комбинация: 0000. С формирователя кода эта комбинация подается на сдвиговый регистр, откуда она поступает на схему управления нагрузкой и на индикатор режимов. Таким образом, после включения питания в устройстве вся нагрузка остается выключенной на время 256 тактовых импульсов. Полный цикл формирования светодинамического эффекта, например, “бегущего огня” состоит из 16 комбинаций. В представленном устройстве исключаются состояния, когда все нагрузки включены или не включена ни одна.

При подаче 128-го импульса в формирователе кода формируется комбинация 0001, что означает: загорится первая нагрузка (красный светодиод). Формирователь сброса подает импульс на переключатель направления счета, который определяет в какую сторону будет сдвигаться комбинация на сдвиговом регистре: вперед (вправо) или назад (влево). При 256-м импульсе комбинация 0001 будет переписана в сдвиговый регистр, после чего формирователь кода инкременируется, в нем устанавливается комбинация 0010. В сдвиговом регистре первоначальная комбинация сдвигается вперед. Таким образом при следующем импульсе с генератора включается вторая нагрузка (желтый светодиод), далее - третья нагрузка (зеленый светодиод), при последующем - четвертая (синий светодиод), а затем возврат на первую нагрузку (красный). Так происходит бег огней в одну сторону 32 раза. Далее в сдвиговый регистр заносится код 0010, переключение нагрузок повторяется, а в формирователе кода подготавливается комбинация 0011. Таким образом, следом загораются две рядом расположенные нагрузки одновременно (красная + желтая) и происходит их сдвиг (желтая + зеленая, зеленая + синяя, синяя + красная и т.д.). Дальнейший инкремент формирователя кода вызывает другие цветовые комбинации. Так происходит 14 раз со сдвигом сформированных кодов (от 0001 до 1110) одну сторону и затем формирователь кода переходит к комбинации 0001, но сдвиг будет выполняться 14 раз в другую сторону, т.к. переключатель направления переключается после получения числа 14 на формирователе кода. На индикаторе режимов загораются светодиоды красного, желтого, зеленого и синего цветов в соответствии с состоянием нагрузки.

2.3 Синтез электрической принципиальной схемы

Электрическая принципиальная схема (Э3) представлена на чертеже АП-13А. 7.091401.18 Э3.

Генератор импульсов включает в себя резисторы R9, R10, R11, конденсатор С13 и микросхему серии К555ТЛ2 (инвертирующий усилитель с порогом Шмитта) - DD1.4, DD1.5, DD1.6. Генератор опорной частоты собран на логических элементах DD1.4, DD1.5, DD1.6. DD1.6 генерирует с цепями обратной связи, DD1.5 - улучшает форму сигнала, DD1.4 - делает инверсию сигнала. Частоту следования импульсов можно плавно менять подстроечным резистором R11.

Делитель частоты содержит: микросхему серии К555ТМ2 (D-триггер) -DD2.2 и микросхему серии К555ИЕ8 (программируемый счетчик с входом для переключения коэффициента, на который можно разделить входную тактовую частоту) - DD4. Делитель частоты представляет собой счетчик до 128 (DD2.2 делит частоту на 2, DD4 делит частоту на 64, в итоге делитель делит частоту на 128).

Формирователь кода состоит из: микросхемы серии К555ТЛ2 (инвертирующий усилитель с порогом Шмитта) - DD3.4, DD3.5, DD3.6; микросхемы серии К555ЛА3 (логическая функция И с инверсией) - DD6.1, DD6.2; микросхемы серии К555ИЕ7 (четырехразрядный реверсивный счетчик) - DD7.

Формирователь сброса включает в себя такие элементы: интегрирующая RC-цепочка, реализованная на резисторе R8 и конденсаторе C12, а также микросхема серии К555ТЛ2 (инвертирующий усилитель с порогом Шмитта) -DD1.1, DD1.2.

Переключатель направления реализован единственным элементом - микросхемой серии К555ТМ2 (D-триггер) - элемент DD2.2 на схеме Э3.

Сдвиговый регистр представлен микросхемой К555ЛА3 (логическая функция И с инверсией) - DD6.3, DD6.4 и микросхемой К555ИР11 (универсальный четырехразрядный сдвиговый регистр, с помощью которого можно строго синхронно сдвигать цифровое слово вправо и влево) - DD9. Когда на входах S0 и S1 находится комбинация 11, происходит занесение комбинации свечения с микросхемы DD7.

Индикатор режимов реализуется светодиодами VD17-VD20 красного, желтого, зеленого и синего цветов и резисторами R12-R15.

Схема управления нагрузкой содержит такие элементы: контакты для соединения с нагрузкой, тиристоры VD5-VD8, микросхему К155ЛН5 (шесть буферных инверторов с открытыми коллекторами) - DD5.1-DD5.4, микросхему К555ЛА3 (логическая функция И с инверсией) - DD8.1-DD8.4, резисторы R4-R7, а также диоды VD1-VD4.

Блок питания состоит из: трансформатора Т1, двух диодных мостов VD9-VD12 и VD13-VD16, делителя напряжения R1, R2, транзистора VT1, фильтров по питанию С2-С11, микросхемы 142ЕН5А (стабилизатор напряжения), конденсатора С1 и резистора R1. Блок питания вырабатывает два типа напряжения: +12 В - нестабилизированное, +5 В - стабилизированное. Нестабилизированное напряжение идет через диодный мост VD9-VD12, сглаживается конденсатором С1. Стабилизатор DA1 преобразует +12 В нестабилизированного напряжения в +5 В стабилизированного. VD13-VD16 - детектор прохождения Uпит через ноль.

3. ВЫБОР НАПРАВЛЕНИЯ ПРОЕКТИРОВАНИЯ

В данном бакалаврском проекте разрабатывается устройство на логических элементах (микросхемах ТТЛ-технологии). Подобное устройство может обеспечить достаточное количество световых эффектов, необходимых для работы светодинамической установки. Приоритетным направлением проектирования в данном проекте является максимизация количества и разнообразия световых эффектов, создаваемых установкой.

Для проектирования СДУ выбираются радиотехнические элементы, отвечающие следующим критериям:

- элемент должен быть широко распространенным для обеспечения взаимозаменяемости в случае поломок;

- элемент должен иметь низкую стоимость;

- должно обеспечиваться низкое энергопотребление, т.к. предполагается использовать данное устройство в бытовых условиях;

- номинальные значения элемента должны точно соответствовать рассчитанным значениям.

3.1 Выбор элементной базы

1. Для проектирования СДУ нам понадобятся два типа конденсаторов: электролитические и керамические.

Конденсаторы К50-16 - конденсатор оксидно-электролитический, алюминиевый. Конденсатор постоянной емкости, общего назначения. Отклонение емкости от номинальной составляет 0,1%. Достоинство - широкое распространение.

Конденсаторы КМ6 - керамический монолитный. Достоинства - простота и дешевизна.

2. Выбираются резисторы С2-29 - непроволочные, тонкослойные, металлодиэлектрические, металлоокислые.

Данные резисторы отличаются высокой стабильностью параметров, слабой зависимостью сопротивления от частоты и напряжения и высокой надежностью. Отклонение сопротивления от номинального составляет 0,1%. Очень стойки к большим температурам. Широко распространены в радиотехнике.

3. В качестве светодиодов выбирается серия АЛ102В - данный тип светодиодов получил широкое распространение как в быту, так и в промышленной электронике. Очень компактны, потребляют очень мало энергии.

4. При проектировании устройства управления светодинамической индикацией необходимо использовать транзистор. Его выбор определяется следующими условиями: он должен быть биполярным, n-p-n типа.

Транзисторы КТ315A - транзисторы кремниевые планарно-эпитаксиальные n-p-n. Выпускаются в пластмассовом корпусе. Масса не более 0,18г. Обладают очень маленьким обратным током коллектора, коэффициент усиления от 20 до 90.

5. В качестве основных выбраны микросхемы 555-й серии, т.к. эта серия имеет низкое энергопотребление, широко распространение, имеют низкую стоимость, а также серия содержит всю требуемую номенклатуру, за исключением выходного усилителя, выполненного на микросхеме 155ЛН5, обеспечивающей требуемый (повышенный) выходной ток для управления тиристором.

6. Стабилизированный блок питания выполнен на микросхеме 142ЕН5А, реализующей блок питания +5В без дополнительной обвязки.

7. Диоды типа КД202Р обеспечивают выпрямление напряжения сети с током до 10 А.

8. Диоды КД208А обеспечивают выпрямление первичного напряжения для блока питания (12 В) с током до 1 А.

9. Тиристор КУ202Н обеспечивает управление напряжением до 400 В.

3.2 Выбор основных функций устройства, улучшенных по сравнению со схемами-аналогами

По сравнению с четырьмя устройствами, представленными в разделе 1.2, в проектируемом устройстве значительно больше светодинамических эффектов, отсутствуют режимы, когда все нагрузки включены, и когда они все выключены. Также схема управления выходными тиристорами предусматривает стробирование импульсов управления сигналом нулевого напряжения сети, в результате чего переключатель не создает коммутационные помехи для электрооборудования, которые тем больше, чем мощнее нагрузка.

4 РАСЧЕТНАЯ ЧАСТЬ

4.1 Расчет генератора

4.1.1 Цель расчета - расчет периода следования импульсов и частоты генератора импульсов

4.1.2. Методика расчета

Генератор импульсов реализован на элементах: R9, R10, R11, С13, DD1.6 (см. рисунок 4.1). Рассчитывается общий период следования импульсов и частота генерации импульсов.

Рисунок 4.1 - Изображение рассчитываемой части генератора импульсов

Частота генератора вычисляется по формуле:

, (4.1)

где: fген - частота генерации импульсов;

Т0 - период логического нуля;

Т1 - период логической единицы.

В представленном генераторе частота может меняться в зависимости от положения ползунка подстроечного резистора R11. Когда ползунок находится в самом верхнем положении - частота максимальна, когда в самом нижнем - частота минимальна. Таким образом, имеется две схемы для расчета - для минимальной частоты и максимальной.

Рассматривается для начала интегрирующая RC-цепь данного генератора (в качестве сопротивления в ней выступают резисторы R9, R10, R11 - в зависимости от положения ползунка подстроечного резистора R11, в качестве конденсатора выступает С13).

Напряжение на конденсаторе Uc при его заряде через резистор R от источника постоянного напряжения E изменяется по экспоненциальному закону:

(4.2)

Рисунок 5.2 - Кривая заряда конденсатора

Напряжение на конденсаторе Uc при его разряде через резистор R изменяется по закону:

(4.3)

Рисунок 4.3 - Кривая разряда конденсатора

1,7 В - пороговое значение напряжения логической единицы для входа микросхемы типа К555ТЛ2 [1];

0,9 В - пороговое значение напряжения логического нуля для входа микросхемы типа К555ТЛ2 [1];

Напряжение питания: Е=5 В.

Выведем формулы для нахождения t через формулы (4.2) и (4.3):

1) (4.4)

- при верхнем положении ползунка резистора R11.

- при нижнем положении ползунка резистора R11.

2) ; (4.5)

По формуле (4.4) вычисляется Т1 - период логической единицы, а по формуле (4.5) вычисляется Т0 - период логического нуля.

Т1 minв - Тн (4.6)

Тo minн - Тв (4.7)

Формулы (4.6) и (4.7) применяются при верхнем положении ползунка, т.е. при минимальном сопротивлении.

Т1 maxв - Тн (4.8)

Тo maxн - Тв (4.9)

Формулы (4.8) и (4.9) применяются при нижнем положении ползунка, т.е. при максимальном сопротивлении.

R9=3 кОм; R10=33 Ом; R11=1 кОм; С13=330 мкФ.

4.1.3 Расчет

1)

2) Т1 minв - Тн=

3) Тo minн - Тв=

4)

5) Т1maxвн=

6) Тo maxн - Тв=

4.2 Расчет cхемы управления нагрузкой

4.2.1 Цель расчета - определение сопротивления R5 и подбор резистора

4.2.2 Методика расчета

Схема управления нагрузкой содержит такие элементы: контакты для соединения с нагрузкой, тиристоры VD5-VD8, микросхему К155ЛН5 (шесть буферных инверторов с открытыми коллекторами) - DD5.1-DD5.4, микросхему К555ЛА3 (логическая функция И с инверсией) - DD8.1-DD8.4, резисторы R4-R7, а также диоды VD1-VD4.

Сопротивление резистора R5 определяется по формуле:

(4.10)

где: Uупр - напряжение схемы управления нагрузкой;

Iвых_м - ток с выхода микросхемы DD5.

Напряжение схемы управления нагрузкой для рассчитываемой схемы составляет 12 В, а ток с выхода микросхемы DD5.2 выбирается исходя из справочных данных микросхемы [1], относящейся к 155 серии технологии ТТЛ: Iвых_м=0,016 А.

4.2.3 Расчет

Исходя из расчета и ряда номинальных значений выбирается сопротивление из гостированного ряда, равное 750 Ом, т.к. ток Iвых_м - это номинальный ток выхода нуля и разброс значений сопротивлений резисторов не выведет его за допустимые пределы.

4.3 Расчет индикатора режимов

4.3.1 Цель расчета - определение сопротивления R12 и подбор резистора

4.3.2 Методика расчета

Индикатор режимов реализуется светодиодами VD17-VD20 красного, желтого, зеленого и синего цветов и резисторами R12-R15.

Сопротивление R12 определяется по формуле:

(4.11)

где: Uвых - напряжение на выходе микросхемы DD9;

UVD17 - напряжение на светодиоде VD17 (АЛ102В), определяемое по справочным данным [2];

Iном - ток на светодиоде VD17 (выбирается из справочных данных [2]).

4.3.3 Расчет

Т.к. в расчет взят максимальный ток свечения, то в результате расчета получено минимально допустимое значение сопротивления резистора. Принимается коэффициент запаса 50%, поэтому номинал сопротивления увеличивается в 1,5 раза и округляется исходя из ряда номинальных значений. Выбирается сопротивление, равное 180 Ом.

4.4. Расчет формирователя сброса

4.4.1 Цель расчета - расчет емкости на C12.

4.4.2 Методика расчета

Формирователь сброса включает в себя такие элементы: интегрирующая RC-цепочка, реализованная на резисторе R8 и конденсаторе C12, а также микросхема серии К555ТЛ2 (инвертирующий усилитель с порогом Шмитта) -DD1.1, DD1.2.

Длительность импульса сброса должна превышать один период тактового генератора, чтобы гарантировать установку всех элементов схемы в требуемое исходное состояние. Минимальная частота генератора 4,56 Гц (исходя из расчета), соответственно период равен 0,22 с. Рассчитывается время достижения на входе микросхемы DD1.1 напряжения 1,7 В. Расчет проводится аналогично расчету длительности нулевого состояния тактового генератора по формуле (5.2). Сопротивление R8 выбираем исходя из номинального значения для микросхем 555-й серии (3 кОм).

, (4.12)

где: Е - напряжение источника питания;

Uс - напряжение заряда конденсатора (равное напряжению на входе микросхемы DD1.1);

(4.13)

Подставим (4.13) в (4.12):

(4.14)

4.4.3 Расчет

Выбираем значение С12 согласно гостированного ряда номинальных значений: С12=180 мкФ.

4.5. Расчет блока питания (расчет цепи, состоящей из резистора R3 и транзистора VT1)

4.5.1. Цель расчета - расчет номинала сопротивления R3.

4.5.2 Методика расчета.

Имеем формулу:

, (4.15)

где: I1_вх - значение максимального входного тока логической единицы для микросхемы ТТЛ серии К555ЛА3 (берется из справочных данных [1]);

Е - напряжение питания схемы;

Uпор - пороговое значение напряжения логической единицы для микросхем серии К555.

Из формулы (4.15) выразим R3:

(4.16)

4.5.3 Расчет

Согласно формуле (4.16) производится расчет:

Выбирается сопротивление R3, равное 4 кОм, т.к. I1_вх - максимальный входной ток для рассчитываемой микросхемы. Следовательно, рассчитанное сопротивление минимально и коэффициент запаса не учитывается.

4.6 Расчет блока питания (диодного моста VD13-VD16)

4.6.1 Цель расчета - расчет тока диодного моста VD13-VD16

4.6.2 Методика расчета.

Рисунок 4.4 - Изображение блока питания

Расчет производим по формуле:

(4.17)

где: Uпит - напряжение питания данной части схемы.

Само устройство имеет два вида питания: +12 В нестабилизированное, проходит через диодный мост VD9-VD12 и сглаживается через конденсатор С1, +5 В стабилизированное, получаемое на выходе стабилизатора напряжения DA1). В данной формуле Uпит=12 В;

Uтр-ра - напряжение насыщения коллектор-эмиттер (берется из справочных данных [3]).

4.6.3 Расчет

4.7 Расчет коэффициента нагрузки для микросхем DD2.1, DD1.1 и DD1.2

4.7.1 Цель расчета - определение нагрузочной способности микросхем

4.7.2 Методика расчета - подсчитывается количество входов элементов, подключаемых к выходам рассчитываемой микросхемы и проверяется, чтобы это количество не превосходило максимально возможное для данной серии, иначе возможны неполадки в процессе работы устройства.

4.7.3 Расчет

1) На выход 2 элемента DD1.1 (технология ТТЛ, серия К555) подключено два входа: микросхемы DD4 и DD7, которые относятся к этой же серии.

2) На выход 4 элемента DD1.2 (технология ТТЛ, серия К555) подключено два входа: микросхемы DD2.1 и DD9, которые относятся к этой же серии.

3) На выход 5 элемента DD2.1 (технология ТТЛ, серия К555) подключен один вход: микросхема DD6.4, которая относится к этой же серии.

4) На выход 6 элемента DD2.1 (технология ТТЛ, серия К555) подключено два входа: микросхемы DD6.3 и DD2.1, которые относятся к этой же серии.

Из справочных данных [1] получается, что на один выход микросхемы серии К555 технологии ТТЛ, можно нагрузить 20 входов микросхем этой же серии или 40 входов серии 155.

Если принять эту нагрузочную способность, полученную по справочным данным за 100%, то коэффициент нагрузки выглядит так:

1)

2)

3)

4)

Кн не должен превышать 1. Т.к. во всех четырех случаях коэффициент нагрузки значительно меньше 1, то стекание входных токов от выходов других микросхем не превысит допустимую норму, а следовательно не приведет к неполадкам в устройстве.

4.8. Результаты электрических расчетов

Сведем результаты расчетов в таблицу 4.1:

Таблица 4.1 - Результаты расчетов

Позиционное обозначение

Исходное значение

Рассчитанное значение

Выбранное значение

R3

1 кОм

4 кОм

4 кОм

R5

750 Ом

750 Ом

750 Ом

R12

180 Ом

110 Ом

180 Ом

C12

100 мкФ

176,5 мкФ

180 мкФ

4.9 Расчет надежности

Надежность - свойство объекта сохранять во времени в установленных пределах значения всех параметров, характеризующих способность выполнять требуемые функции в заданных режимах. Для количественной оценки надежности важнейшее значение имеет отказ.

Отказ - событие, заключающееся в нарушении работоспособного состояния объекта.

Все количественные характеристики надежности электронных устройств имеют вероятностный характер, т.к. отказ является случайным событием. Электронная аппаратура относится к классу неремонтируемой, поэтому показателями ее надежности являются:

1) Вероятность безотказной работы P(t0) вероятность того, что в пределах заданной наработки отказа в объекте не возникнет:

. (4.18)

2) Средняя наработка до отказа определяется как ожидаемое время неисправной работы до первого отказа:

, (4.19)

где F(t) - функция распределения наработки до отказа.

3) Интенсивность отказов представляет условную вероятность возникновения отказов в системе, в некоторый момент времени наработки при условии, что до этого момента отказов не было:

, (4.20)

где n(t) - число систем (элементов), отказавших в течение рассматриваемого промежутка времени ?t;

N(t) - количество систем (элементов), работоспособных к началу промежутка времени ?t;

- показывает, какая часть элементов по отношению к среднему числу исправно работающих элементов выходит их строя в единицу времени (обычно 1 час).

На этапе нормальной работы средняя наработка до отказа определяется по формуле:

(4.21)

Исходными данными для расчета надежности являются типы элементов, их количество, значения интенсивности отказов, условия эксплуатации и режимы работы элементов.

Интенсивность отказов элемента в данных эксплуатационных условиях:

, (4.22)

где - интенсивность отказов элемента в нормальных условиях;

- эксплуатационные (поправочные) коэффициенты интенсивности отказов.

По справочным данным выберем значения поправочных коэффициентов:

(стационарные условия эксплуатации);

(влажность 60-70%, температура 20-40°С);

(высота 0-1 км).

Данные: состав элементов, их количество, режимы работы и интенсивность отказов в номинальных режимах , а также интенсивность отказов элементов с учетом условий эксплуатации , и произведение (1/ч) сведем в таблицу 4.2.

Таблица 4.2 - Расчет интенсивности отказов элементов

Интенсивность отказов данного устройства вычисляем:

(4.23)

Средняя наработка до отказа устройства:

ч. (4.24)

Вероятность безотказной работы в течение 20 часов:

. (4.25)

5. РАЗРАБОТКА VHDL-ОПИСАНИЯ ПРОЕКТА

5.1. Основные понятия и развитие языка описания аппаратуры VHDL

Языком описания называется набор синтаксических и семантических правил, определяющий формат представления устройств. Языки описания аппаратуры (ЯОА) позволяют создавать адекватные модели проектируемых устройств и эффективно выполнять соответствующее имитационное моделирование, обладая при необходимости независимостью от конкретных аппаратных структур.

Описательным возможностям ЯОА, а также средствам для документирования проектов всегда уделялось особенное внимание. Дело в том, что на различных этапах проектирования используются различные алгоритмы и программы и, соответственно, различные виды исходных данных. Поэтому требуются языки описания, позволяющие представлять исходные данные для проектирования в форме, воспринимаемой существующими пакетами программ. В зависимости от типа программы и набора исходных данных используются самые разнообразные языки описания: язык описания логических связей, язык описания соединений транзисторов, язык описания электрических постоянных и др. Однако каждый из языков описания является входным форматом независимо разработанных программ, и поэтому имеет индивидуальные особенности. Поскольку такие ЯОА специализированы, то они не взаимозаменяемы. В результате, при проектировании интегральных схем, например, возникала необходимость подготовить входные данные с использованием до 10 различных языков описания.

Сложно осуществить и автоматическое преобразование между языками, так как различаются принципы построения моделей описания. Это объясняется тем, что описательные способности первоначального языка в результате преобразования будут ограничены. Поэтому одной из основных задач в развитии ЯОА явилась разработка концепции общего языка описания.

Стандартизация ЯОА позволяет избежать избыточности в описательных выражениях языков и обеспечить их унификацию для поддержки документирования проектов. Этот аспект очень важен, так как сопровождение проекта документацией способствует успешному выполнению разработки. Способность ЯОА к многоуровневому представлению устройств обеспечивает транспортабельность проекта, что позволяет различным проектировочным подразделениям эффективно взаимодействовать.

Разработанные и унифицированные к настоящему времени языки описания аппаратуры (VHDL, ISP, UDL/I, Verilog, ICL и др.) поддерживаются большинством существующих и широко используемых систем автоматизированного проектирования (САПР) ВС (например, Mentor Graphics, Compass, Cadence). Унификация ЯОА позволяет организовывать эффективное взаимодействие между различными САПР, способствуя созданию экономичных проектов. Например, разработка, выполненная с использованием свободно распространяемой САПР БИС Alliance, в формате Verilog передавалась в САПР Cadence. На основании описания ВС в Cadence выполнялась автоматическая генерация тестов и моделирование устройства с целью проверки его функционирования на соответствие заданной функции.

Наиболее широкое применение приобретает язык VHDL (VHSIC Hardware Description Language). Он разрабатывался как язык описания аппаратуры для высокоскоростных интегральных схем. Первоначальное назначение языка заключалось в обеспечении обмена проектами между различными соисполнителями работ по созданию сверхскоростных интегральных схем. Однако позже с учетом предложений и рекомендаций известных специалистов в области ВС язык был усовершенствован и стандартизирован Институтом инженеров по электротехнике и радиоэлектронике (IEEE), в результате чего в 1987 году был утвержден стандарт IEEE Standart 1076 VHDL.

Язык VHDL обеспечивает высокоуровневую абстракцию описания аппаратных средств благодаря наличию как множества предопределенных типов данных, так и возможности создавать пользовательские иерархически организованные типы данных на основе базовых, заложенных в языке.

Благодаря этим возможностям, и, так как язык VHDL легко воспринимается как программными средствами, так и человеком, он может использоваться на этапах проектирования, верификации, синтеза и тестирования аппаратуры, для передачи пректных данных, модификации и сопровождения проекта. В настоящее время он используется для работы с ВС любого уровня сложности - микросхема, плата, блок, устройство, ЭВМ, комплекс. [7]

5.2 Применение языка VHDL при разработке устройства управления светодинамической индикацией

В данном бакалаврском проекте основной задачей являлось создание VHDL-описания устройства управления светодинамической индикацией. Описание данного устройства проводилось согласно принципиальной схеме, которая вынесена на плакат.

VHDL поддерживает три различных стиля для описания аппаратных архитектур: структурное описание, потоковое описание, поведенческое описание. Все три стиля могут самостоятельно или совместно использоваться для проектирования архитектуры ВС. При структурном описании (structural description) объекта проекта архитектура представляется в виде иерархии связанных компонентов. [7]

Каждый экземпляр компонента представляет часть проекта, которая, с другой стороны, может быть описана объектом проекта низшего уровня, также состоящим из связанных компонентов. Таким способом может быть построена иерархия объектов проекта, которая представляет весь проект. Компонентом может быть один вентиль, микросхема, плата или целая подсистема; иерархия может представлять структурное разбиение проекта или функциональную декомпозицию.

Вначале необходимо описать интерфейсы и архитектурные тела для всех подсистем (компонентов). В структурном архитектурном теле перед ключевым словом begin располагается описание внутренних для архитектуры сигналов, служащих для соединения между собой базовых компонент. Внутри архитектурного тела порты интерфейса также могут быть интерпретированы как сигналы. В этой же области архитектуры (до ключевого слова begin) располагаются описания базовых компонент, из которых она строится. Во второй части архитектурного тела находится совокупность реализаций компонент. Каждая реализация является копией объекта (entity), представляющего подсистему и использующего соответствующее архитектурное тело. Ключевые слова port map специфицируют межсоединения портов каждой реализации компонента с внутренними сигналами архитектуры и сигналами интерфейса основного объекта, который построен на базе этих компонент.

В данном проекте использовался структурный стиль с описанием некоторых компонент в поведенческом (DFF, TFF, generator), а также в потоковом стиле (компонент мультиплексор). Весь текст VHDL-описания устройства приведен в приложении А. Структурный стиль VHDL-описания основной программы был выбран по нескольким причинам: во-первых, этот стиль отличается наглядностью, во-вторых, из-за возможности использования библиотечных компонентов, в-третьих, этот стиль позволяет создавать довольно большие по объему программы без потери логических связей (например, потоковый стиль по этой причине совершенно не подходит).

Для моделирования работы двух элементов устройства (counter_IE7, counter_IE8) использовался графический редактор для создания моделей в виде цифровых автоматов (Finite State Machine Editor). Редактор конечного автомата допускает простой и однозначный графический ввод проекта. Так как проект может быть легко перенастроен на любой конечный элемент, редакторы автоматов становятся очень популярными среди проектировщиков, которые по достоинству оценивают технологическую независимость. Редактор состояний автоматов допускает графический ввод информации в проект в форме конечных автоматов. Таким образом, конечный автомат (FSM) представляет собой процесс переходов между состояниями в ограниченные числе "состояний". Проект FSM содержит следующие атрибуты:

- список состояний;

- список команд, которые могут быть выполнены во время переходов из состояния в состояние;

- список действий, которые будут сделаны для каждой команды.

Графы состояний, выполненные в FSM, а также временные диаграммы счетчиков counter_IE7 и counter_IE8 представлены на плакате.

Создание модели устройства управления светодинамической индикацией на языке VHDL преследовало две цели.

1 Проверка соответствия поведения синтезированного устройства его функциональному описанию.

2 Полученное VHDL-описание может являться исходными данными для автоматического синтеза заказной БИС, реализующей устройство. Реализация устройства в виде одной БИС значительно снижает стоимость, габариты, повышает надежность, простоту изготовления.

6 ТЕХНИКО-ЭКОНОМИЧЕСКОЕ ОБОСНОВАНИЕ РАЗРАБОТКИ УСТРОЙСТВА УПРАВЛЕНИЯ СВЕТОДИНАМИЧЕСКОЙ ИНДИКАЦИЕЙ

6.1 Описание характеристики изделия

В качестве нового изделия предлагается эффективная схема устройства управления светодинамической индикацией. Достоинствами нового изделия являются достаточно большое количество реализуемых световых эффектов при относительно низких аппаратурных затратах, а также широкая область применения устройства. В данной работе были предложены расчеты электрических параметров, показателей надежности, а также моделирование схемы на языке описания аппаратуры VHDL. Обработка результатов моделирования и разработка программы велись на персональном компьютере.

Данная работа может применяться при производстве электронных устройств на базе программируемых логических интегральных схем, когда основными требованиями к разработке являются требование надежности электронного устройства, его универсальности, а также низкого энергопотребления и сравнительно невысокой себестоимости. Имеющиеся на рынке аналоги уступают разрабатываемому устройству по количеству реализуемых световых эффектов, помимо этого они могут создавать помехи в работе другого электрооборудования, включенного в общую с ними сеть.

Возможности применения светодинамической установки широки, например, при оформлении различных эстрадных представлений, дискотек, иллюминировании фасадов зданий.

Элементная база данного устройства подобрана из доступных и недорогих элементов, что делает его доступным для внедрения в производство.

Некоторые параметры и характеристики разрабатываемого изделия приведены в таблице 6.1.

Таблица 6.1 - Описание характеристики изделия

Наименование показателя

Краткая характеристика

Нагрузка

4 электролампочки 220 В, мощностью до 100 Вт

Питание

Сеть переменного тока 220В +10% -15%

Потребляемая мощность

Около 10 Вт

Тип корпуса и размеры готового изделия

Пластмассовый корпус, вес: около 400г, размеры: 180х190х50 мм

Условия эксплуатации

Закрытые помещения при температуре 0-400С, относительная влажность до 80%.

6.2 Расчет себестоимости и цены изделия

Себестоимость представляет собой выраженные в денежной форме текущие затраты предприятия, ПО, НИИ на производство и реализацию продукции. В ходе производственно-хозяйственной деятельности эти затраты должны быть возмещены за счет выручки от продаж.


Использование показателей себестоимости на практике, во всех случаях требует обеспечения единообразия затрат, учитываемых в ее составе. Для обеспечения такого единообразия, конкретный состав расходов, относимых на себестоимость, регламентируется Типовым положением по планированию, учету и калькулированию себестоимости продукции (услуг, работ) в промышленности (постановление КМ 19.01.2000г №27/4248).

Целью учета себестоимости продукции является полное и достоверное определение фактических затрат, связанных с разработкой, производством и сбытом продукции.

Затраты, включенные в себестоимость продукции группируются по следующим элементам:

- материальные затраты;

- затраты на оплату труда;

- отчисления на социальные мероприятия;

- другие затраты.

6.2.1 Материальные расходы

К материальным затратам относятся затраты на сырье и материалы, а также на покупные комплектующие изделия (ПКИ) с учетом транспортно-заготовительных расходов.

Расчет затрат на сырье и материалы ведется по формуле:

, (6.1)

где - норма расхода i-го материала на единицу продукции;

- цена единицы i-го вида материала;

отк- стоимость отходов (10 % от стоимости материала);

- количество видов материала.

Расчет стоимости сырья и материалов представлен в таблице 6.2.

Таблица 6.2 - Расчет стоимости сырья и материалов

Наименование материала

Норма расхода, кг

Цена за 1 кг,

грн

Сумма,

грн

Полистирол высокого давления ПДВ-10/50

0,50

20,00

10,00

Стеклотекстолит СФ 2-50-08

5,00

0,50

2,50

Припой ПОС-61

0,10

37,00

3,70

Флюс ЛТИ-120

0,03

25,00

0,75

Итого Смат

16,95

Стоимость отходов определяется по формуле:

, (6.2)

,

Аналогично затратам на сырье и материалы рассчитываются затраты на покупные комплектующие изделия (таблица 6.3).

Таблица 6.3 - Затраты на покупные комплектующие изделия

Наименование

Кол-во, штук

Цена за штуку, грн

Сумма, грн

Резистор С2-29

14

0,04

0,60

Резистор подстроечный СП3-27а

1

0,50

0,50

Конденсатор К50-16

4

0,80

3,20

Конденсатор керамический (КМ6)

4

0,40

1,60

Диод КД202Р, КД208А, КД521

12

0,50

6,00

Светодиод АЛ102

4

0,15

0,60

Тиристор КУ202Н

4

1,50

6,00

Микросхема MAX3000

1

11,00

11,00

Микросхема КР142ЕН5А

1

2,00

2,00

Трансформатор ТС10

1

10,00

10,00

Транзистор КТ315А

1

1,50

1,50

Корпус

1

30,00

30,00

Шнур

1

5,00

5,00

Итого

78,00

Транспортно-заготовительные расходы принимаются в размере 12 % от стоимости сырья, материалов и покупных комплектующих изделий и в денежном выражении составляют 11,40 грн.

6.2.2 Затраты на оплату труда

К затратам на оплату труда относится основная и дополнительная заработная плата персонала, занятого выполнением конкретных работ: научные работники, научно - вспомогательный персонал и производственные рабочие. Расчет затрат на основную заработную плату приведен в таблице 6.4.

Таблица 6.4 - Расчет затрат на основную заработную плату на разработку устройства управления светодинамической индикацией

Должность

Оклад, грн

Количество месяцев

Долевое участие, %

Сумма, грн

Руководитель

700,00

3

20

420,00

Инженер

450,00

3

80

1080,00

Итого

1500,00

Для расчета заработной платы производственных рабочих необходимо определить трудоемкость изготовления изделия, которая определяется в виде суммарной трудоемкости технологических процессов изготовления изделия по формуле:

, (6.3)

где - трудоемкость изготовления i-ой составной части изделия, нормо-час;

т - количество составных частей.

В ряде случаев для определения трудоемкости можно использовать статистические зависимости. Так, например, для определения трудоемкости монтажа блоков, выполненных на интегральных микросхемах, применяется формула:

, (6.4)

где х - число паек в сотых долях.

В данной схеме число паек приблизительно равно 320, значит х = 3,2.

Таким образом, трудоемкость монтажа блоков, выполненных на интегральных микросхемах, равна:

.

Трудоемкость монтажа блоков примем равной 18 н.ч.

Работу выполняет сборщик 5-го разряда.

Заработная плата производственных рабочих вычисляется по формуле:

(6.5)

(6.6)

(5.7)

Доплата принимается в размере 12% от заработной платы производственных рабочих:

(6.8)

Таким образом:

Итого заработная плата составляет:

(6.9)

6.2.3 Дополнительная заработная плата

Дополнительная заработная плата включает в себя доплаты, надбавки, гарантийные и компенсационные выплаты, предусмотренные законодательством Украины, и составляет 10% от Зосн :

6.2.4 Отчисления на социальные мероприятия

К отчислениям на социальные мероприятия относятся:

- отчисления на государственное (обязательное) социальное страхование, включая отчисления на обязательное медицинское страхование - 2,5% от осндоп) ;

- отчисления на государственное (обязательное) пенсионное страхование (в Пенсионный фонд) - 32% от осндоп) ;

- отчисления в Фонд содействия занятости населения 2,5% от осндоп);

- отчисления на индивидуальное страхование персонала предприятия - 1% от .

6.2.5 Расходы на содержание и эксплуатацию оборудования

К статье калькуляции “Расходы на содержание и эксплуатацию оборудования” (РСЭО) относятся расходы на содержание и эксплуатацию производственного и подъемно-транспортного оборудования, амортизационные отчисления от стоимости производственного оборудования, расходы на ремонты на содержание цеховых транспортных средств, стоимости услуг КИП и автоматики и т.д.

В данной работе РСЭО принимается в размере 40% от производственных рабочих.

6.2.6 Общепроизводственные расходы

К общепроизводственным расходам относятся затраты на управление производством; на амортизацию основных средств общезаводского назначения; на расходы некапитального характера, связанные с усовершенствованием технологий и организации производства, улучшением качества продукции, повышением ее надежности, долговечности и других эксплуатационных свойств; расходы на обслуживание производственного процесса.

Принимаются в размере 30% от Зосн.:

Зобщ.р.= =472,74(грн)

6.2.7 Административные расходы

В этом разделе обобщаются расходы, которые направлены на обслуживание и управление фирмой: расходы, связанные с управлением предприятия, с содержанием и обслуживанием основных средств, с обслуживанием производственного процесса. Сюда относятся налоги, сборы и другие предусмотренные законодательством обязательные платежи, а также затраты, связанные с профессиональной подготовкой или переподготовкой работников аппарата управления и другого общехозяйственного персонала. Расходы принимаем в размере 20% от .

6.2.8 Расходы на сбыт

К расходам на сбыт принадлежат затраты, связанные с реализацией (сбытом) продукции (товаров, работ, услуг) и включает расходы на содержание подразделений предприятия, которые связаны со сбытом продукции; тару упаковку продукции; расходы по доставке продукции н а станцию отправления и на погрузку в транспортные средства; комиссионные сборы и т.д. Принимаем в размере 5% от производственной себестоимости.

По результатам проведенных расчетов составляется калькуляция себестоимости, приведенная в таблице 6.5.

Таблица 6.5 - Калькуляция себестоимости

Наименование статей калькуляции

Сумма, грн

Сырье и материалы

15,25

Покупные комплектующие изделия

78,00

Транспортно - заготовительные расходы

11,40

Основная заработная плата

1575,8

Дополнительная заработная плата

157,58

Отчисления на социальные мероприятия

в том числе: социальное страхование

пенсионное страхование

фонд содействия занятости населения

отчисления на индивидуальное страхование

43,33

554,68

43,33

17,33

Общепроизводственные расходы

472,74

Расходы на содержание и эксплуатацию оборудования

30,32

Производственная себестоимость

3089,71

Административные расходы

315,16

Расходы на сбыт

154,49

Полная себестоимость

3559,36

Прибыль (20%)

889,84

НДС (20%)

889,84

Цена продажи

5249,09

В заключении следует отметить, что в результате проведенных расчётов по разработке устройства управления светодинамической индикацией определены себестоимость и цена изделия. По сравнению с имеющимися аналогами цена разработанного устройства в 2 раза ниже, при этом оно не уступает аналогам по основным параметрам. Разработка устройства завершается созданием и передачей заказчику одного экземпляра изделия на основе разового заказа. При серийном выпуске устройства управления светодинамической индикаций цена снизится примерно в 3 раза.

7 ОХРАНА ТРУДА И ОКРУЖАЮЩЕЙ СРЕДЫ

7.1 Общие вопросы охраны труда и окружающей среды

При значительной интенсивности труда, которая наблюдается при переходе к рыночным отношениям, нельзя пренебрегать вопросами сохранения здоровья и обеспечения безопасности людей, вовлеченных в производство. Внедрение новых технологий и новых видов техники требует постоянного внимания к вопросам обеспечения безопасных и высокопроизводительных условий труда, ликвидации производственного травматизма и профессиональных заболеваний.

Охрана труда - система правовых, социально-экономических, организационно-технических, санитарно-гигиенических и лечебно-профилактических мероприятий и средств, направленных на охрану здоровья и работоспособности человека в процессе труда (Закон Украины “Об охране труда” от 21.11.02) [11].

Охрана труда должна осуществляться на научной основе, которую составляют следующие условия: внедрение новой безопасной техники, прогрессивные методы организации труда и технологии производства, применение защитных средств и приспособлений, обеспечивающих снижение травматизма.

Современная действительность диктует повсеместное использование компьютерной техники, в том числе в автоматике и других отраслях промышленности. В связи с этим возникла необходимость разработки санитарно - гигиенических норм и защитных мер при работе с компьютерами.

Тема данного проекта: “Устройство управления светодинамической индикацией”. В представленном дипломном проекте главным этапом реализации объекта разработки является написание VHDL-описания работы разрабатываемого устройства.

При разработке данного проекта применялся компьютер фирмы Intel серии Celeron 800.

Важной составляющей трудового процесса использования ПЭВМ является значительная информационная нагрузка и как следствие - нервное переутомление. Причиной его возникновения может быть несоответствие реального результата и ожидаемого, чрезмерная интенсивность информационных потоков, которая не соответствует индивидуальным возможностям человека, неблагоприятное воздействие производственной среды и другие факторы, вызывающие стрессовое состояние у человека. Поэтому для научно обоснованного подхода к оптимизации умственного труда, получение необходимых данных оптимальных условий труда должно осуществляться комплексно с применением знаний по промышленной гигиене и эргономике.

При выполнении данного проекта использовалась такая техника: персональный компьютер, принтер, сканер, ксерокс.

Перечисленное оборудование использует напряжение промышленной электросети до 1000В, поэтому необходимо выполнять правила по безопасному ведению работы с электрооборудованием - ПУЭ-87 [12].

При работе на ПЭВМ на человека воздействует ряд опасных и вредных факторов, которые классифицируются согласно ГОСТ 12.0.003-74 [13]. Опасным фактором называется фактор, вызывающий травму или повреждение организма. Вредный фактор _ фактор, длительное воздействие которого приводит к патологии в организме _ профессиональным заболеваниям. Эти факторы разделяются на физические, химические, биологические и психофизические основные из них приведены в таблице 7.1.

Таблица 7.1 _ Перечень опасных и вредных факторов

Наименование фактора

Источник возникновения фактора

Характер воздействия на человека

Нормированные параметры и нормативные значения

Норматив-

ный документ

1 Повышенный уровень статического электричества

ЭЛТ

Опасность поражения током, раздражение кожи

Потенциал не более 500 В

ГОСТ12.1. 038-82 [14]

2 Повышенный уровень шума

Устройства охлаждения ЭВМ, печатающие устройства

Утомление слуховых анализаторов

Уровень звука

L < 50 дБА

ГОСТ12.1. 003-83 [15]

3 Повышенная пульсация светового излучения

Лампы дневного света

Утомление зрения

Коэффициент пульсаций, Кп=10

СНиП II-4-79 [16]

4 Статическая нагрузка

Постоянная рабочая поза

Влияние на ЦНС, утомление организма

НПАОП 0.00-1.31-99 [17]

5 Недостаток естественного освещения

Неправильное расположение ПЭВМ

Утомление зрительного анализатора

КЕО=1, 0125%

СНиП II-4-79 [16]

6 Недостаток искусственного освещения

Неправильная планировка систем освещения

Утомление зрительного анализатора

Минимальная освещенность

Е = 500-700лк

СНиП II-4-79 [16]

7 Отраженная блескость

Неправильное расположение ПЭВМ

Утомление зрительного анализатора

Должна отсутствовать в поле зрения

НПАОП 0.00-1.31-99 [17]

8 Монотонность труда

Особенности технологическо-

го процесса

Влияние на ЦНС, утомление организма

НПАОП 0.00-1.31-99 [17]

9 Повышенное значение напряжения в электрической цепи

Электрообору-

дование

Опасность поражения электричеством

Сила тока I=0.6 mA при U=220 V

ГОСТ 12.1.038-82 [14]

10 Яркость экрана

Экран монитора ПЭВМ

Утомление зрительных анализаторов

В = 100 кд/м2

НПАОП 0.00-1.31-99 [17]

11 Контраст-ность изображения

Экран монитора ПЭВМ

Утомление зрительных анализаторов

к=(Вфо)/Вф= =0,9 , где:
Вф - яркость фона;
Во - яркость объекта

НПАОП 0.00-1.31-99 [17]

7.2 Производственная санитария

Производительность труда во многом зависит от условий на производстве, таких как: освещение, состав воздуха, шумы, вредные излучения. Каждый из параметров по отдельности и в совокупности с другими влияет на состояние организма человека, определяя его самочувствие.

7.2.1 Метеорологические условия помещения

Категория выполняемой работы относится к легкой физической Iа: работа, производимая сидя, не требующая систематического физического напряжения; энергозатраты до 120 ккал/ч., но умственно напряженной (ГОСТ 12.1.005-88 [18]).

Согласно ГОСТ 12.1.005-88 [18] оптимальные параметры микроклимата для выполнения работы должны находиться в пределах, указанных в таблице 7.2. Параметры являются оптимальными, так как категория работы III напряженная.

Таблица 7.2 - Оптимальные параметры микроклимата

Категория работы

Период года

Температура t, c

Относительная влажность, ?,%

Скорость движения воздуха V, м/с

Легкая работа Iа

Холодный

22...24

40...60

0,1

Легкая работа Iа

Теплый

23...25

40...60

0,1

Для обеспечения вышеуказанных оптимальных метеорологических условий в помещении предусмотрена система отопления (общее паровое), вентиляции (общая приточно-вытяжная искусственная) и кондиционирование согласно СНиП 2.04.05-91 [19].

Расчет необходимого количества кондиционеров, должен проводиться по теплоизбыткам от машин, людей, солнечной радиации.

Режим работы кондиционера должен обеспечить максимально возможное поступление наружного воздуха, но не менее 50% от производительности кондиционера.

При проектировании дисплейных классов вузов необходимо предусматривать приточно-вытяжную вентиляцию. Подача воздуха должна производиться в верхнюю зону малыми скоростями из расчета создания подвижности воздуха на рабочем месте студента менее 0,1 м/с, лучше через подшивной гофрированный потолок. Вытяжка - естественная из верхней зоны стены, противоположной оконным проемам.

Качественный состав воздуха: содержание кислорода в дисплейном классе должно быть в пределах 21-22 об. %. Двуокись углерода не должна превышать 0,1 об. %, озон - 0,1 мг/м3, аммиак - 0,2 мг/м3, фенол - 0,01 мг/м3, хлористый винил - 0,005 мг/м3, формальдегид - 0,003 мг/м3 [18].

7.2.2 Характеристика производственного помещения

Разработка данного проекта производилась в трехэтажном здании Электрокорпуса на кафедре “Автоматика и управление в технических системах”.

По категории пожароопасности здание относится к категории В - ОНТП-24-86 [20], класс по пожарной опасности оборудования закрытого типа - П-IIа ПУЭ-87 [12], огнестойкость конструкции здания - II степени, согласно ДБН В1.1-7-2002 [21]. В помещениях имеется система пожаротушения в соответствии с ГОСТ 12.1.004-91 [22]. Для обеспечения в помещении заданного температурного режима в соответствии с требованиями СНиП 2.04.05-91 [19] имеется централизованное отопление, вентиляция, кондиционер.

7.2.3 Виды вентиляции

Воздух поступающий в помещение должен быть очищен от загрязнения, в том числе от микроорганизмов и пыли.

В соответствии со СНиП 2.04.05-91 [19], вентиляция обеспечивает поддержание санитарно-гигиенических норм температуры, влажности, запыленности воздуха в рабочих помещениях. Для обеспечения необходимых санитарно-гигиенических параметров воздушной среды при эксплуатации устройства в помещении имеется естественная и искусственная вентиляция. Естественная вентиляция осуществляется через оконные проёмы и двери. Основной недостаток естественной вентиляции заключается в том, что приточный воздух вводится в помещение без предварительной очистки и подогрева, а удаляемый воздух не очищается и следовательно, загрязняет атмосферу.

Искусственная вентиляция осуществляется системой отопления, а также с помощью приточно-вытяжной вентиляции с механическим побуждением и местной вентиляцией. Забор воздуха извне производится вентилятором через калорифер, где воздух нагревается и увлажняется, а затем подается в помещение. Количество подаваемого воздуха регулируется клапанами или заслонками. Вытяжная система вентиляции удаляет загрязненный и перегретый воздух через сеть воздуховодов при помощи вентилятора. Чистый воздух подсасывается через окна и двери. Загрязненный воздух перед выбросом наружу очищается.

Воздух внутри рабочего помещения может оказаться насыщенным пылью, попадающей туда одновременно с забором неочищенного воздуха с улицы. Поэтому необходимо проводить влажную уборку помещения, а также регулярно проветривать его.

7.2.4 Естественное и искусственное освещение

Работоспособность оператора во многом зависит от освещения. Неудовлетворительное освещение количественно или качественно утомляет не только зрение, но и вызывает утомление организма в целом, оказывает влияние на производительность труда оператора.

Для обеспечения нормального освещения применяется естественное, искусственное и смешанное освещения, которые нормируются СНиП 4-II-79 [16].

Лаборатория обеспечивается боковым естественным освещением в светлое время суток, в темное - системой общего искусственного освещения.

Нормированные значения КЕО, согласно СНиП 4-II-79 [16], для зданий, расположенных в I, II, IV, V поясах светового климата, определяются по следующей формуле:

(7.1)

где - значение КЕО для III пояса светового климата, составляет 1.5 [6]

m _ коэффициент светового климата (для г. Харькова m=0,9 % - СНиП 4-II-79 [16]);

c _ коэффициент солнечности климата, равен 0,75 т.к. окна расположены на южной стороне здания - СНиП 4-II-79 [16].

Значение КЕО для естественного освещения:

е = 1.5*0.9*0.75=1.0125%.

В лаборатории применяется общее искусственное равномерное освещение. Данные по нормам освещения для создания комфортных условий зрительной работы приведены в таблице 7.3.

Таблица 7.3 - Характеристики производственного освещения

Точность зритель-ных работ

Минима-

льный размер объекта различе-ния, мм

Разряд зритель-ной работы

Харак-терис-тика типа фона

Контраст объекта с фоном

Подраз-ряд зритель-ной работы

Нормировочное значение при освещении

Естественном еHN, %

Искуст-ном Еmin,

Средней точности

0,51

IV

Сред-няя

малый

1.0125

300

Все производственные помещения, с постоянным пребыванием в них людей в соответствии с санитарными нормами и правилами, имеют естественное освещение.

7.2.5 Статическое электричество

Защита от статического электричества производится в соответствии с санитарно-гигиеническими нормативами допустимой надежности электрического поля. Допускаемые напряженности электрических полей не должны превышать 20 кВ/м в течение 1 часа, ГОСТ 12.1.045-84 [23].

7.3 Пожарная безопасность

Пожарная безопасность - состояние объекта, при котором с установленной вероятностью исключается возможность возникновения и развития пожара. Пожарная безопасность в соответствии с ГОСТ 12.1.004-91 [22] обеспечивается системами предотвращения пожара, пожарной защиты, организационно-техническими мероприятиями.

Система предотвращения пожара:

контроль и профилактика изоляции;

наличие плавких вставок и предохранителей в электронном оборудовании;

для защиты от статического напряжения используется заземление;

молниезащита зданий и оборудования согласно РД 34.21.122-87 [24].

Для данного класса зданий и местности со средней грозовой деятельностью 10 и более грозовых часов в год, т.е. для условий г. Харькова установлена III категория молниезащиты [24].

Для успешной эвакуации персонала при пожаре размеры двери рабочего помещения должны быть следующими:

- ширина двери не менее 1,5 м.,

- высота двери не менее 2,0 м.,

- ширина коридора 1,8 м.;

- рабочее помещение должно иметь два выхода;

- расстояние от наиболее удаленного рабочего места не должно превышать 100 м.

Организационные меры пожарной профилактики:

обучение персонала правилам пожарной безопасности;

издание необходимых инструкций и плакатов, плана эвакуации персонала в случае пожара.

7.4 Охрана окружающей среды

Задачей законодательства об охране окружающей среды является регулирование отношений в области охраны, использования и воспроизводства природных ресурсов, обеспечение экологической безопасности, предупреждение и ликвидация отрицательного воздействия любой деятельности на окружающую среду.

В данном случае при разработке устройства и программы не применяются материалы и технологии, наносящие вред окружающей среде. Разработчик использует санитарно-гигиенические места общего пользования с разработанной безопасной для окружающей среды системой очистки.

В данной работе используется ПЭВМ, поэтому целесообразно отметить, что при массовом производстве мониторов и компьютеров нельзя не учитывать их влияние на окружающую среду на всех стадиях их “жизни” - при изготовлении, эксплуатации и после окончания срока службы.

При изготовлении действуют экологические стандарты, которые определяют требования к производству и материалам, использующимся в конструкциях приборов. Они не должны содержать фреонов, хлоридов, бромидов и поливинилхлорида [ТСО95, BS 7750]. ТСО95 включают требования пониженного энергопотребления и ограничивают допустимые уровни мощности, потребляемые в неактивном состоянии.

Работа на используемом ПК не оказывает вредного воздействия на окружающую среду. После истечения срока службы он полностью подлежит вторичной обработке, а также все используемые в данной работе материалы допускают нетоксичную переработку после использования.

ЗАКЛЮЧЕНИЕ

В данном бакалаврском проекте проведена разработка устройства управления светодинамической индикацией. Данное устройство обладает следующими достоинствами: невысокая себестоимость, большое число реализуемых световых эффектов, выдержка времени перед началом работы, отсутствие нединамичных (все погашены, все включены) режимов работы. Были проведены расчеты электрических параметров устройства, а также расчет надежности радиотехнических элементов. Также выполнено моделирование работы схемы на языке описания аппаратуры VHDL, построены временные диаграммы и графы состояний. Полученное описание на языке VHDL позволяет синтезировать заказную БИС, реализующую устройство в одной микросхеме.

СПИСОК ИСТОЧНИКОВ ИНФОРМАЦИИ

1. Шило В.Л. Популярные цифровые микросхемы: Справочник. - М.: Радио и связь, 1988. - 352 с.

2. Лавриненко В.Ю. Справочник по п олупроводниковым приборам. - К.: Техника, 1984. - 424 с.

3. Григорьянц В.Г. Импульсные схемы РЛС. - М.: Воениздат, 1981.

4. Источники электропитания на полупроводниковых приборах. Проектирование и расчет. Под ред. Додика С.Д. и Гальперина Е.И. М.: Советское радио, 1969.

5. Тутевич В.Н. Телемеханика. - М.: Высшая школа, 1985.

6. Градиль В.П. и др. Справочник по Единой системе конструкторской документации /В. П. Градиль, А. К. Моргун, Р. А. Егацин, под ред. А. Ф. Раба .- 4е изд., перераб. и доп. - Х.: Прапор, 1988.

7. Ивченко В.Г. Применение языка VHDL при проектировании специализированных СБИС: Учебное пособие. Таганрог: Изд-во ТРТУ, 1999.

8. Перельройзен Е.З. Проектируем на VHDL - М.: СОЛОН-Пресс, 2004.

9. Поляков А.К. Языки VHDL и Verilog в проектировании цифровой аппаратуры - М.: СОЛОН-Пресс, 2003. - 320 с.: ил.

10. Бибило П.Н. Синтез логических схем с использованием языка VHDL - М.: СОЛОН-Р, 2002.

11. Закон Украины об охране труда - 21.11.02.

12. ПУЭ. Правила устройства электроустановок. - М.: Энергоатомиздат.

13. ГОСТ 12.0.003-74. ССБТ. Опасные и вредные производственные факторы. Классификация. Введен 01.01.76.

14. ГОСТ 12.1.038-82. ССБТ. Электробезопасность. Предельно допустимые значения напряжения прикосновения и токов. - Введен 01.01.88.

15. ГОСТ 12.1.003-83. ССБТ. Шум. Общие требования безопасности. - Введён 01.07.89.

16. СНиП II-4-79. Естественное и искусственное освещение. Нормы проектирования. - М.: Стройиздат, 1980.

17. НПАОП 0.00-1.31-99 Правила охорони праці при експлуатації електронно-обчислювальних машин. Діє з 01.01.00.

18. ГОСТ 12.1.005-88. ССБТ. Общие санитарно-гигиенические требования к воздуху рабочей зоны. Введен 01.01.89.

19. СНиП 2.04.05-91. Отопление, вентиляция и кондиционирование воздуха. -М.: Стройиздат, 1992 г.

20. ОНТП 24-86.Общесоюзные нормы технического проектирования. Определение категорий помещений и зданий по взрывопожарной и пожарной безопасности. М.: Стройиздат, 1986.

21. ДБН В 1.1-7-2002 Пожежна безпека обєктів будівництва. - Діє з 01.01.03.

22. ГОСТ 12.1.004-91. ССБТ. Пожарная безопасность. Общие требования. Введен 01.01.92.

23. ГОСТ 12.1.006-84. ССБТ. Электростатические поля. Допустимые уровни на рабочих местах и требования к проведению контроля. - Введен 01.01.86.

24. РД 34.21.122-87. Инструкция по устройству молниезащиты зданий и сооружений.

ПРИЛОЖЕНИЕ А

Текст программы устройства управления светодинамической

индикацией на языке VHDL

library IEEE;

use IEEE.std_logic_1164.all; -- ссылка на используемые библиотеки

use kat.all;

----------------------- Текст основной программы ---------------------------

entity katy is -- описание входных и выходных портов устройства

port(

Reset_sx: in bit;

Q_sx: out bit_vector (4 downto 1));

end katy;

architecture BEHAVIOR of katy is -- тело архитектуры основной программы

-- описание портов компонентов устройства

component generator is -- описание портов генератора импульсов

port (apr: inout bit:=0);

end component;

component TSH is -- описание портов элемента задержки с инверсией

port(IN1: in bit;

Y: out bit);

end component;

component counter_IE8 is -- описание портов счетчика ИЕ8

port(Reset_IE8,Clock_IE8: in bit;

P: out bit);

end component;

component counter_IE7 is -- описание портов счетчика ИЕ7

port(Reset_IE7,CV: in bit;

reverse: inout bit;

Q: out bit_vector (4 downto 1));

end component;

component DFF is -- описание портов D-триггера

generic (T1S, T0R, T1C, T0C: time:=0 ns);

port (S: in bit:=1; C,D,R: in bit:=0;

Q: inout bit:=0;

NQ: inout bit:=1);

end component;

component Formirovatel is -- описание портов формирователя

port (Input: in bit;

Output: out bit);

end component;

component sdvig_reg is -- описание портов сдвигового регистра

port(R,C,A,nA,P: in bit;

D: in bit_vector (4 downto 1);

Q: out bit_vector (4 downto 1));

end component;

signal t1,t2,R,C,nA,A,Del,Clock_IE8,P,CV,Clock_IE7: bit; -- описание сигналов

signal D_sx: bit_vector (4 downto 1);

begin -- описание связей компонентов, входящих в основную программу

p1: TSH port map (Reset_sx,t1);

p2: TSH port map (t1,R);

p3: TSH port map (C,t2);

p4: generator port map (C);

p5: DFF port map (Reset_sx,Clock_IE7,nA,R,A,nA);

p6: DFF port map (Reset_sx,C,Del,Reset_sx,Clock_IE8,Del);

p7: counter_IE8 port map (t1,Clock_IE8,P);

p8: Formirovatel port map (P,CV);

p9: counter_IE7 port map (t1,CV,Clock_IE7,D_sx);

p10: sdvig_reg port map (R,C,A,nA,P,D_sx,Q_sx);

end BEHAVIOR;

------------ Текст программы счетчика, аналога микросхемы К155ИЕ8----

entity counter_IE8 is -- описание входных и выходных портов устройства

port(Reset_IE8,Clock_IE8: in bit;

P: out bit);

end counter_IE8;

architecture BEHAV of counter_IE8 is -- тело архитектуры

-- описание портов компонентов счетчика

component TFF is

generic (T1S, T0R, T1C, T0C: time:=1 ns);

port (S: in bit:=1; C, R: in bit:=0;

Q: inout bit:=0;

NQ: inout bit:=1);

end component;

component NAND8 is

port(IN1,IN2,IN3,IN4,IN5,IN6,IN7,IN8: in bit;

Y: out bit);

end component;

component NAND6 is

port(IN1,IN2,IN3,IN4,IN5,IN6: in bit;

Y: out bit);

end component;

component NAND4 is

port(IN1,IN2,IN3,IN4: in bit;

Y: out bit);

end component;

component NAND2 is

port(IN1,IN2: in bit;

Y: out bit);

end component;

component NOT is

port(IN1: in bit;

Y: out bit);

end component;

signal n1,n2,n3,Q1,Q2,Q3,Q4,Q5,Q6,c1,c2,c3,c4,c5,c6: bit; -- описание сигналов

begin -- описание связей компонентов, входящих в counter_IE8

DD1: NOT port map(Clock_IE8,n1);

DD2: NOT port map(n1,c1);

DD3: NOT port map(Reset_IE8,n2);

DD4: TFF port map (1,c1,n2,Q1);

DD5: NAND2 port map(n1,Q1,c2);

DD6: TFF port map(1,c2,n2,Q2);

DD7: NAND4 port map (n1,n1,Q2,Q1,c3);

DD8: TFF port map(1,c3,n2,Q3);

DD9: NAND4 port map(n1,Q3,Q2,Q1,c4);

DD10: TFF port map(1,c4,n2,Q4);

DD11: NAND6 port map(n1,n1,Q4,Q3,Q2,Q1,c5);

DD12: TFF port map(1,c5,n2,Q5);

DD13: NAND6 port map(n1,Q5,Q4,Q3,Q2,Q1,c6);

DD14: TFF port map(1,c6,n2,Q6);

DD15: NAND8 port map(Q1,Q2,Q3,Q4,Q5,Q6,Q6,n1,n3);

P<=n3;

end BEHAV;

------------ Текст программы четырехразрядного реверсивного счетчика, аналога микросхемы К155ИЕ7

entity TSH is -- элемент задержки с инверсией входного сигнала

port(IN1: in bit;

Y: out bit);

end TSH;

architecture beh of TSH is

begin

Y <= not (IN1) after 2 ns;

end beh;

entity counter_IE7 is -- описание портов счетчика

port(Reset_IE7,CV: in bit;

reverse: inout bit;

Q: out bit_vector (4 downto 1));

end counter_IE7;

architecture BEHAV of counter_IE7 is -- тело архитектуры счетчика

-- описание входящих в счетчик компонентов

component TFF is

generic (T1S, T0R, T1C, T0C: time:=0 ns);

port (S: in bit:=1; C, R: in bit:=0;

Q: inout bit:=0;

NQ: inout bit:=1);

end component;

component NAND6 is

port(IN1, IN2, IN3, IN4, IN5, IN6: in bit;

Y : out bit);

end component;

component NOT is

port(IN1: in bit;

Y: out bit);

end component;

component AND2 is

port(IN1, IN2: in bit;

Y: out bit);

end component;

component NAND3 is

port(IN1, IN2, IN3: in bit;

Y: out bit);

end component;

component NAND4 is

port(IN1, IN2, IN3, IN4: in bit;

Y: out bit);

end component;

component NAND2 is

port(IN1, IN2: in bit;

Y: out bit);

end component;

component TSH is

port(IN1: in bit;

Y: out bit);

end component;

-- описание внутренних сигналов счетчика

signal n1,n2,n3,n4,n5,n6,n7,n8,s1,s2,s3,s4,r1,r2,r3,r4,c1,c2,c3,c4,Q1,NQ1,Q2, NQ2,Q3, NQ3,Q4,NQ4,PE,P: bit;

begin -- описание связей компонентов, входящих в counter_IE7

DD1: NOT port map(CV,n1);

DD2: NOT port map(Reset_IE7,n2);

DD3: NAND2 port map(n2,n8,s1);

DD4: NOT port map(n1,c1);

DD5: AND2 port map(n2,n3,r1);

DD6: NAND2 port map(n8,s1,n3);

DD7: TFF port map(s1,c1,r1,Q1,NQ1);

DD8: NOT port map(n8,n4);

DD9: NAND2 port map(n1,Q1,c2);

DD10: AND2 port map(n2,n4,r2);

DD11: TFF port map(1,c2,r2,Q2,NQ2);

DD12: NOT port map(n8,n5);

DD13: NAND3 port map(n1,Q1,Q2,c3);

DD14: AND2 port map(n2,n5,r3);

DD15: TFF port map(1,c3,r3,Q3,NQ3);

DD16: NOT port map(n8,n6);

DD17: NAND4 port map(n1,Q1,Q2,Q3,c4);

DD18: AND2 port map(n2,n6,r4);

DD19: TFF port map(1,c4,r4,Q4,NQ4);

DD20: NAND6 port map(Q1,n1,Q2,Q3,Q4,n1,n7);

DD21: NOT port map(PE,n8);

DD22: TSH port map(P,reverse);

DD23: TSH port map(reverse,PE);

Q(1)<=Q1;

Q(2)<=Q2;

Q(3)<=Q3;

Q(4)<=Q4;

P<=n7;

end BEHAV;

----- Текст программы формирователя кода -----

entity NAND2 is -- текст программы элемента И-НЕ на два входа

port(IN1, IN2: in bit;

Y: out bit);

end NAND2;

architecture beh of NAND2 is

begin

Y <= IN1 nand IN2;

end beh;

entity TSH is -- текст программы элемента задержки с инверсией

port(IN1: in bit;

Y: out bit);

end TSH;

architecture beh of TSH is

begin

Y <= not (IN1) after 2 ns;

end beh;

entity Formirovatel is -- описание портов формирователя

port (Input: in bit;

Output: out bit);

end Formirovatel;

architecture BEHAV of Formirovatel is -- тело архитектуры

-- описание компонентов формирователя

component NAND2 is

port(IN1, IN2: in bit;

Y: out bit);

end component;

component TSH is

port(IN1: in bit;

Y: out bit);

end component;

signal o1,o2,o3,o4: bit; -- описание внутренних сигналов

begin -- описание связей компонентов, входящих в формирователь кода

DD1: TSH port map(Input,o1);

DD2: TSH port map(o1,o2);

DD3: TSH port map(o2,o3);

DD4: NAND2 port map(Input,o3,o4);

DD5: NAND2 port map(o4,o4,Output);

end BEHAV;

----- Текст программы сдвигового регистра,

аналога микросхемы К155ИР11

entity sdvig_reg is -- описание портов сдвигового регистра

port(R,C,A,nA,P: in bit;

D: in bit_vector (4 downto 1);

Q: out bit_vector (4 downto 1));

end sdvig_reg;

architecture BEHAV of sdvig_reg is -- тело архитектуры регистра

-- описание компонентов, входящих в сдвиговый регистр

component NOT is

port(IN1: in bit;

Y: out bit);

end component;

component NAND2 is

port(IN1,IN2: in bit;

Y: out bit);

end component;

component Mux_4 is

port(i: in bit_vector (4 downto 1);

sel: in bit_vector (2 downto 1);

s: out bit);

end component;

component DFF is

generic (T1S, T0R, T1C, T0C: time:=0 ns);

port (S: in bit:=1; C,D,R: in bit:=0;

Q: inout bit:=0;

NQ: inout bit:=1);

end component;

-- описание внутренних сигналов регистра

signal i1,i2,i3,i4: bit_vector (4 downto 1);

signal sel: bit_vector (2 downto 1);

signal s1,s2,v,do1,do2,do3,do4,Qo1,Qo2,Qo3,Qo4,nQo1,nQo2,nQo3,nQo4: bit;

begin -- описание связей компонентов, входящих в сдвиговый регистр

DD1: NAND2 port map (A,P,s1);

DD2: NAND2 port map (nA,P,s2);

DD3: NOT port map (C,v);

DD4: Mux_4 port map (i4,sel,do4);

DD5: Mux_4 port map (i3,sel,do3);

DD6: Mux_4 port map (i2,sel,do2);

DD7: Mux_4 port map (i1,sel,do1);

DD8: DFF port map (1,v,do1,R,Qo4,nQo4);

DD9: DFF port map (1,v,do2,R,Qo3,nQo3);

DD10: DFF port map (1,v,do3,R,Qo2,nQo2);

DD11: DFF port map (1,v,do4,R,Qo1,nQo1);

sel(1) <= s1;

sel(2) <= s2;

i1(1) <= Qo4;

i1(2) <= Qo3;

i1(3) <= Qo1;

i1(4) <= D(4);

i2(1) <= Qo3;

i2(2) <= Qo2;

i2(3) <= Qo4;

i2(4) <= D(3);

i3(1) <= Qo2;

i3(2) <= Qo1;

i3(3) <= Qo3;

i3(4) <= D(2);

i4(1) <= Qo1;

i4(2) <= Qo4;

i4(3) <= Qo2;

i4(4) <= D(1);

Q(1)<=Qo1;

Q(2)<=Qo2;

Q(3)<=Qo3;

Q(4)<=Qo4;

end BEHAV;

----- Текст программы генератора импульсов -----

entity generator is

port (apr: inout bit:=0);

end generator;

architecture BEHAV of generator is

begin

apr <= not apr after 5 ns;

end architecture;

-- Текст программы двухразрядного мультиплексора на 4 входа --

entity Mux_4 is

port(i: in bit_vector (4 downto 1);

sel: in bit_vector (2 downto 1);

s: out bit);

end Mux_4;

architecture BEHAV of Mux_4 is

begin

with sel select

s <= i(1) when “00”,

i(2) when “01”,

i(3) when “10”,

i(4) when “11”;

end BEHAV;

----- Текст программы Т-триггера с асинхронными инверсными S и R входами -----

entity TFF is

generic (T1S, T0R, T1C, T0C: time:=1 ns);

port (S: in bit:=1; C, R: in bit:=0;

Q: inout bit:=0;

NQ: inout bit:=1);

end TFF;

architecture BEHAVIOR of TFF is

begin

process (S,C,R)

variable T: bit:=0;

variable DELAY: time:= 1 ns;

begin

assert not (S=0 and R=0)

report "одновременный 0 на S и R входе Т-триггера"

severity warning;

if S=0 and R=1 then T:=1;

elsif S=1 and R=0 then T:=0;

elsif R=1 and S=1 and C=1 and not Cstable then

T:=NQ;

else T:=Q;

end if;

if T=0 and Q=1 then

if R=0 then DELAY:=T0R;

else DELAY:=T1C;

end if;

elsif T=1 and Q=0 then

if S=0 then DELAY:=T1S;

else DELAY:=T1C;

end if;

end if;

Q<=T after DELAY;

NQ<=not T after DELAY;

end process;

end BEHAVIOR;

----- Текст программы асинхронного D-триггера -----

entity DFF is

generic (T1S, T0R, T1C, T0C: time:=0 ns);

port (S: in bit:=1; C,D,R: in bit:=0;

Q: inout bit:=0;

NQ: inout bit:=1);

end DFF;

architecture BEHAVIOR of DFF is

begin

process (S,C,D,R)

variable T: bit:=0;

variable DELAY: time:=0 ns;

begin

assert not (S=0 and R=0)

report "одновременный 0 на S и R входе D-триггера"

severity warning;

if S=0 and R=1 then T:=1;

elsif S=1 and R=0 then T:=0;

elsif R=1 and S=1 and D=1 and C=1 and not Cstable then

T:=1;

elsif R=1 and S=1 and D=0 and C=1 and not Cstable then

T:=0;

else T:=Q;

end if;

if T=0 and Q=1 then

if R=0 then DELAY:=T0R;

else DELAY:=T1C;

end if;

elsif T=1 and Q=0 then

if S=0 then DELAY:=T1S;

else DELAY:=T1C;

end if;

end if;

Q<=T after DELAY;

NQ<=not T after DELAY;

end process;

end BEHAVIOR;



Не сдавайте скачаную работу преподавателю!
Данную курсовую работу Вы можете использовать для написания своего курсового проекта.

Поделись с друзьями, за репост + 100 мильонов к студенческой карме :

Пишем курсовую работу самостоятельно:
! Как писать курсовую работу Практические советы по написанию семестровых и курсовых работ.
! Схема написания курсовой Из каких частей состоит курсовик. С чего начать и как правильно закончить работу.
! Формулировка проблемы Описываем цель курсовой, что анализируем, разрабатываем, какого результата хотим добиться.
! План курсовой работы Нумерованным списком описывается порядок и структура будующей работы.
! Введение курсовой работы Что пишется в введении, какой объем вводной части?
! Задачи курсовой работы Правильно начинать любую работу с постановки задач, описания того что необходимо сделать.
! Источники информации Какими источниками следует пользоваться. Почему не стоит доверять бесплатно скачанным работа.
! Заключение курсовой работы Подведение итогов проведенных мероприятий, достигнута ли цель, решена ли проблема.
! Оригинальность текстов Каким образом можно повысить оригинальность текстов чтобы пройти проверку антиплагиатом.
! Оформление курсовика Требования и методические рекомендации по оформлению работы по ГОСТ.

Читайте также:
Разновидности курсовых Какие курсовые бывают в чем их особенности и принципиальные отличия.
Отличие курсового проекта от работы Чем принципиально отличается по структуре и подходу разработка курсового проекта.
Типичные недостатки На что чаще всего обращают внимание преподаватели и какие ошибки допускают студенты.
Защита курсовой работы Как подготовиться к защите курсовой работы и как ее провести.
Доклад на защиту Как подготовить доклад чтобы он был не скучным, интересным и информативным для преподавателя.
Оценка курсовой работы Каким образом преподаватели оценивают качества подготовленного курсовика.

Сейчас смотрят :

Курсовая работа Анализ производительности труда и резервы ее повышения
Курсовая работа Пути совершенствования производственной структуры предприятия
Курсовая работа Оборотные средства организации и эффективность их использования
Курсовая работа Учет и анализ движения денежных средств
Курсовая работа Исследование рынка кофе Nescafe Classic
Курсовая работа Религиозный туризм
Курсовая работа Институт опеки и попечительства
Курсовая работа Юридическая ответственность
Курсовая работа Аудит расчетов с покупателями и заказчиками
Курсовая работа Цены и ценообразование в рыночной экономике
Курсовая работа Организация коммерческой деятельности предприятия
Курсовая работа Оборотный капитал предприятий
Курсовая работа Развитие внимания у младших школьников
Курсовая работа Пути достижения конкурентного преимущества продукции на рынке
Курсовая работа Обстоятельства, смягчающие наказание